人人范文网 范文大全

EDA_电子琴课程设计

发布时间:2020-03-01 23:23:28 来源:范文大全 收藏本文 下载本文 手机版

基于VHDL原理图及文本输入法 的简单电子琴电路的设计

1.1 课程设计目的

本课程设计主要是基于VHDL文本输入法设计乐曲演奏电路,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,通过按键输入来控制音响或者自动演奏已存入的歌曲。系统由乐曲自动演奏模块、音调发生模块和数控分频模块三个部分组成。系统实现是用硬件描述语言VHDL按模块化方式进行设计,然后进行编程、时序仿真、电路功能验证,奏出美妙的乐曲(当然由于条件限制,暂不进行功能验证,只进行编程和时序仿真)。该设计最重要的一点就是通过按键控制不同的音调发生,每一个音调对应不同的频率,从而输出对应频率的声音。

1.2 课程设计内容

(1)设计一个简易的八音符电子琴,它可通过按键输入来控制音响。

(2)系统演奏时可以选择是手动演奏(由键盘输入)还是自动演奏已存入的乐曲。 (3)能够自动演奏多首乐曲,且乐曲可重复演奏。

1.3 课程设计原理

本课程设计目的在于灵活运用EDA技术编程实现一个简易电子琴的乐曲演奏,它要求在实验箱上构造一个电子琴电路,不同的音阶对应不同频率的正弦波。按下每个代表不同音阶的按键时,能够发出对应频率的声音。故系统可分为乐曲自动演奏模块(AUTO)、音调发生模块(TONE)和数控分频模块(FENPIN)三部分。系统的整体组装设计原理图如图1-1所示。

图1-1 系统的整体组装设计原理图

由于设计分模块组成,每个单独的模块都是一个完整的源程序,分别实现不同性质的功能,但是每个模块又是紧密关联的,前一个模块的输出很可能是后一模块的输入。如AUTO模块的音符信号输出就是TONE模块的音符信号输入。另外,时钟脉冲信号在本课程设计中用的最多,用处也最大,一般情况下时钟信号处上升沿有效,判断和控制各个计数器计数多少。

2 简易电子琴的设计过程

根据系统设计要求,系统该系统基于计算机中时钟分频器的原理,设计采用自顶向下的设计方法,通过按键输入来控制音响或者自动演奏已存入的歌曲。它由乐曲自动演奏模块、音调发生模块和数控分频模块三部分组成。

2.1 乐曲自动演奏模块

乐曲自动演奏模块的作用是产生8位发生控制输入信号。当进行自动演奏时,由存储在此模块的8位二进制数作为发声控制输入,从而自动演奏乐曲。

该模块的VHDL源程序主要由3个工作进程组成,分别为PULSE0,MUSIC和COM1。PULSE0的作用是根据键盘输入(自动演奏)的值(0或1)来判断计数器COUNT以及脉冲CLK2的输出值。部分源程序如下:

PULSE0:PROCESS(CLK,AUTO)

--工作进程开始

VARIABLE COUNT:INTEGER RANGE 0 TO 8;

--定义计数器变量,值从0到8

IF AUTO=\'1\'THEN

--键盘输入为1

COUNT:=0;CLK2

ELSIF(CLK\'EVENT AND CLK=\'1\')THEN --时钟输入信号为1

COUNT:=COUNT+1; --计数器加1

当确定了时钟信号输出的值后,在第二个PROCESS中就可以由它控制8位发声控制输入信号了。即CLK2的值为0时,COUNT0为1。最后的COM1便是由前两个PROCESS所确定的COUNT0、AUTO和键盘输入信号值INDEX2将8位的二进制数转化为音符信号的输出,达到自动演奏的目的。部分源程序如下:

IF AUTO=\'0\'THEN CASE COUNT0 IS WHEN 0=>INDEX0

WHEN 4=>INDEX0

骤, AUTO模块的源程序符号编辑图如图3-1。

图3-1 AUTO模块的符号编辑图

2.1.1乐曲演奏模块文本程序: --程序名称:AUTO.VHD --程序功能:采用VHDL语言编程产生8位发声控制输入信号。

LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY AUTO IS

PORT(CLK:

IN STD_LOGIC;

--系统时钟信号

AUTO:

IN STD_LOGIC;

--键盘输入/自动演奏

CLK2: BUFFER STD_LOGIC;

--时钟输出

INDEX2: IN STD_LOGIC_VECTOR(7 DOWNTO 0);

--键盘输入信号

INDEX0: OUT STD_LOGIC_VECTOR(7 DOWNTO 0)); --音符信号输出 END AUTO; ARCHITECTURE BEHAVIORAL OF AUTO IS SIGNAL COUNT0:INTEGER RANGE 0 TO 31; --定义信号计数器,有32个信号元素 BEGIN PULSE0:PROCESS(CLK,AUTO)

--PULSE0工作进程开始

VARIABLE COUNT:INTEGER RANGE 0 TO 8;

--定义变量计数器,从0到8 BEGIN

IF AUTO=\'1\'THEN

--键盘输入为1

COUNT:=0;CLK2

--计数器值为0,时钟信号2幅值为0

ELSIF(CLK\'EVENT AND CLK=\'1\')THEN

--输入的时钟信号为其他值

COUNT:=COUNT+1;

--计数器加1即为1

IF COUNT=4 THEN

CLK2

ELSIF COUNT=8 THEN

CLK2

--MUSIC工作进程开始 BEGIN

IF(CLK2\'EVENT AND CLK2=\'1\')THEN

--时钟信号2为1

IF(COUNT0=31)THEN

--计数器值为31

COUNT0

--计数器清0 ELSE

COUNT0

END IF; END IF; END PROCESS; COM1:PROCESS(COUNT0,AUTO,INDEX2) BEGIN IF AUTO=\'0\'THEN

--键盘输入为0 CASE COUNT0 IS

--由计数器从0到31的取值判断音符信号的8位二进制数

WHEN 0=>INDEX0

--3 WHEN 1=>INDEX0

--3 WHEN 2=>INDEX0

--3 WHEN 3=>INDEX0

WHEN 4=>INDEX0

WHEN 5=>INDEX0

WHEN 6=>INDEX0

WHEN 7=>INDEX0

WHEN 8=>INDEX0

WHEN 9=>INDEX0

WHEN 10=>INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0INDEX0NULL; END CASE; ELSE INDEX0

END IF; END PROCESS; END BEHAVIORAL;

2.2 音调发生模块

--3 --5 --5 --5 --6 --8 --8 --8 --3 --2 --2 --1 --1 --5 --5 --4 --4 --4 --3 --2 --2 --5 --5 --4 --4 --3 --3 --2 --2

--将音符信号0的值赋给音符信号2

音调发生模块的作用是产生音阶的分频预置值。当8位发声控制输入信号中的某一位为高电平时,则对应某一音阶的数值将输出,该数值即为该音阶的分频预置值,分频预置值控制数控分频模块进行分频,由此得到每个音阶对应的频率。

该模块的唯一输入信号INDEX对应就是自动模块中最后的输出INDEX0,音符显示信号CODE,高低音显示信号HIGH和音符分频系数都是根据音符输入确定的。比如我们自定义INDEX第8位为高电平时,它的分频系数则为773Hz,音符显示信号为1001111,即是773的二进制表示,此时高低音显示1表示高音。部分源程序如下: CASE INDEX IS WHEN\"00000010\"=>TONE0TONE0TONE0

3—2 TONE模块的符号编辑图

2.2.1音调发生模块文本程序: --程序名称:TONE.VHD --程序功能:采用VHDL语言编程产生音阶的分频预置值。 LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY TONE IS PORT(INDEX: IN STD_LOGIC_VECTOR(7 DOWNTO 0);

--音符输入信号

CODE: OUT STD_LOGIC_VECTOR(6 DOWNTO 0); --音符显示信号

HIGH: OUT STD_LOGIC;

--高低音显示信号

TONE0:OUT INTEGER RANGE 0 TO 2047);

--音符的分频系数 END TONE; ARCHITECTURE ART OF TONE IS BEGIN SEARCH:PROCESS(INDEX)

BEGIN CASE INDEX IS WHEN\"00000001\"=>TONE0

--分频系数773Hz,音符显示1001111,显示低音

WHEN\"00000010\"=>TONE0TONE0TONE0TONE0TONE0TONE0TONE0TONE0

数控分频模块是对时基脉冲进行分频,得到与0、

1、

2、

3、

4、

5、

6、7八个音符相对应的频率。FENPIN模块的源程序符号编辑图如图3-3。

3—3 FENPIN模块符号编辑图

该模块主要由4个工作进程组成。首先,根据系统时钟信号的输入得到时基脉冲以及计数器的值,而时钟信号在AUTO模块中便已给出,两者之间的设置关系类似于AUTO模块中第一个工作进程的设置。第二个PROCESS是此模块的核心,即由时基脉冲值转化为音符的频率。部分源程序如下:

VARIABLE COUNT11:INTEGER RANGE 0 TO 2047; --定义频率计数器,从0到2047Hz

IF(PRECLK\'EVENT AND PRECLK=\'1\')THEN --时基脉冲为1时

IF COUNT11

--频率计数器小于音符分频系数

COUNT11:=COUNT11+1;FULLSPKS

COUNT11:=0;FULLSPKS

IF(FULLSPKS\'EVENT AND FULLSPKS=\'1\')THEN --扬声器音频信号为1

COUNT2:=NOT COUNT2;

IF COUNT2=\'1\'THEN SPKS

--扬声器输出为1 ELSE SPK

.2.3.1数控分频模块文本程序:

LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY FENPIN IS PORT(CLK1: IN STD_LOGIC;

--系统时钟信号

TONE1: IN INTEGER RANGE 0 TO 2047; --音符分频系?

SPKS: OUT STD_LOGIC);

--驱动扬声器的音频信? END ENTITY FENPIN;

ARCHITECTURE ART OF FENPIN IS

SIGNAL PRECLK:STD_LOGIC;

--定义时基脉冲信号

SIGNAL FULLSPKS:STD_LOGIC; BEGIN PROCESS(CLK1) VARIABLE COUNT:INTEGER RANGE 0 TO 8 :=0;

--定义变量计数器,从0到8 BEGIN IF(CLK1\'EVENT AND CLK1=\'1\')THEN

--据时钟信号为1时

COUNT:=COUNT+1;

--判断计数器取值为1

IF COUNT=2 THEN

PRECLK

--若计数器计4

PRECLK

COUNT:=0; --时基脉冲为0,计数器清零

ELSE

END IF; END IF; END PROCESS; PROCESS(PRECLK,TONE1) VARIABLE COUNT11:INTEGER RANGE 0 TO 2047; --定义变量频率计数器11,从0到2047Hz BEGIN

IF(PRECLK\'EVENT AND PRECLK=\'1\')THEN

--PRECLK脉冲上升沿触发

IF COUNT11

--若计数器11值小于音符信号1

COUNT11:=COUNT11+1;

FULLSPKS

--计数器加1,音频信号为1

ELSE

COUNT11:=0;

FULLSPKS

END IF; END IF;

END PROCESS; PROCESS(FULLSPKS)

--音频信号输出进程开始-- VARIABLE count2:integer range 0 to 1 :=0;

--定义变量计数器2,初值为0-- BEGIN IF (FULLSPKS\'EVENT AND FULLSPKS=\'1\') THEN

if count2=1 then count2:= 0;

else

count2:=1;

end if;

IF COUNT2=1 THEN

SPKS

ELSE

SPKS

END IF; END IF; END PROCESS; END ART; 2.4 顶层设计

该DIANZIQIN模块是整个电子琴设计的核心,也是VHDL程序的主程序,前面3个源程序都是作为子程序分别实现电子琴的某一功能,而DIANZIQIN模块则通过调用子程序最终实现乐曲演奏的目的,奏出美妙的乐曲。利用VHDL语言COMPONENT将三个模块组合起来,其中3个模块和DIANZIQIN模块的输入输出是一一对应的,比如AUTO对应HANDTOAUTO,TONE0对应TONE2,SPKS对应SPKOUT等,该模块的符号编辑图如图3-4。

图3-4 DIANZIQIN系统模块的符号编辑图

该图描述的是DIANZIQIN模块输入输出的变量表示,整个系统的整体组装设计原理图就是这4幅编辑图按输入输出关系顺序连接而成的。 2..4.1顶层模块文本程序: --程序名称:DIANZIQIN.VHD --程序功能:采用VHDL语言编写主程序调用3个子程序,实现乐曲演奏的功能。 LIBRARY IEEE;

USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL;

ENTITY DIANZIQIN IS PORT(CLK32MHZ: IN STD_LOGIC;

--系统时钟信号

HANDTOAUTO: IN STD_LOGIC;

--键盘输入/自动演奏信号

CODE1: OUT STD_LOGIC_VECTOR(6 DOWNTO 0); --音符显示信号

INDEX1: IN STD_LOGIC_VECTOR(7 DOWNTO 0);

--键盘输入信号

HIGH1: OUT STD_LOGIC;

--高低音节信号

SPKOUT: OUT STD_LOGIC);

--音频信号 END; ARCHITECTURE ART OF DIANZIQIN IS COMPONENT AUTO

--引用 AUTO元件 PORT(CLK: IN STD_LOGIC;

AUTO: IN STD_LOGIC;

--输入自动演奏信号

INDEX2: IN STD_LOGIC_VECTOR(7 DOWNTO 0);

--输入8位控制信号

INDEX0: OUT STD_LOGIC_VECTOR(7 DOWNTO 0));

--输出8位的音符 END COMPONENT; COMPONENT TONE

--引用TONE元件 PORT(INDEX: IN STD_LOGIC_VECTOR(7 DOWNTO 0);

CODE: OUT STD_LOGIC_VECTOR(6 DOWNTO 0);

HIGH: OUT STD_LOGIC;

TONE0: OUT INTEGER RANGE 0 TO 2047); END COMPONENT; COMPONENT FENPIN

--引用FENPIN元件 PORT(CLK1: IN STD_LOGIC;

TONE1: IN INTEGER RANGE 0 TO 2047;

SPKS: OUT STD_LOGIC); END COMPONENT; SIGNAL TONE2:INTEGER RANGE 0 TO 2047;

--定义主程序音调频率信号 SIGNAL INDX:STD_LOGIC_VECTOR(7 DOWNTO 0);

--定义8位的音符信号 BEGIN U0:AUTO PORT MAP(CLK=>CLK32MHZ,INDEX2=>INDEX1,INDEX0=>INDX,AUTO=>HANDTOAUTO);

--调用自动演奏模块 U1:TONE PORT MAP(INDEX=>INDX,TONE0=>TONE2,CODE=>CODE1,HIGH=>HIGH1); --调用音调发

生模块

U2:FENPIN PORT MAP(CLK1=>CLK32MHZ,TONE1=>TONE2,SPKS=>SPKOUT); END ART;

--调用数控分频模块

3 系统仿真

系统仿真是在实际系统上进行实验研究比较困难时适用的必不可少的工具,它是指通过系统模型实验去研究一个已经存在或正在设计的系统的过程,通俗地讲,就是进行模型实验。因而,系统仿真的结果决定整个课程设计任务完成的到位程度。

3.1 时序仿真

编译完成后,可以对所进行的设计进行仿真,下面介绍一下仿真的步骤。 (1)在file下拉菜单中选择new,再在其弹出的对话框中点选

,点击OK确定,则进入了文本编辑器,在编辑区输入程序,然后保存为.vhd文件(注意保存时实体名要与文件名一致,否则编译时会出错);接下来在file下来菜单选择project,在其下拉菜单中选Aign,在其下拉菜单中选device,最后选择

,建立工程文件。第三步,在菜单栏里选择

,再选

,确定即可;第四步,在菜单栏中点击MAX+plusII再用下拉菜单中选compiler进行编译。

(2) 打开波形编辑器,在Node菜单中选择Enter Nodes from SNF项,列出所有的信号,选择所需要的信号,然后画出输入信号的波形,最后将该文件以.scf的扩展名 存盘。

(3) 在MAX+plusⅡ菜单中打开仿真器窗口,单击Start按钮,当仿真器结束工作时,单击Open SCF按钮,就可以看到仿真的结果。

本课程设计的仿真平台是MAX+plusⅡ,通过对VHDL源程序进行编译检错,然后创建波形文件(后缀名为.scf),加入输入输出变量,选择适用的芯片(EPF10K10LC84-3)以及设定仿真结束时间(100us),设置好输入初值进行仿真,得到仿真波形图。由于电子琴4个模块都是独立的程序,可分别对各个程序仿真,验证输入输出的正确性,但在最终能演奏出乐曲的则是顶层设计模块。

3.1.1乐曲自动演奏模块的仿真如图3-1所示。

图3-1 乐曲自动演奏模块的仿真图

该图输入系统时钟信号CLK初值设为0,自动演奏AUTO设为1,键盘输入信号INDEX2为00,INDEX0为音符信号输出,是8位的二进制代码它根据COUNT0的值改变而改变。

3.1.2音调发生模块的仿真如图3-2所示。

图3-2 音调发生模块的仿真图

该图输入音符信号INDEX初值为00,输出是音符显示信号CODE为01,高低音显示信号为0,音符的分频系数为11111111即2047Hz。

3.1.3数控分频模块的仿真如图3-3所示。

图3-

3数控分频模块的仿真图

该图输入系统时钟信号CLK1初值为0(各输出值都是在时钟信号的下降沿有效),音符分频系数TONE1为00100000即1290Hz,驱动扬声器的音频信号SPKS输出为1。

3.1.4简易电子琴整个系统的仿真如图3-4所示。

图3-4 简易电子琴整个系统的仿真图

该图输入系统时钟信号CLK32MHZ初值为0,自动演奏信号HANDTOAUTO初值为0,键盘输入信号INDEX1为00000000;输出音符信号CODE1则为0110000,高低音节信号HIGH1变为1,即高音,音频信号SPKOUT即输出0,输出为1时CODE1变为0110100,这时出现10ns的延时。在仿真时由于系统各方面原因影响,出现延时属于正常现象。

3.2原理图综合时序仿真

步骤:

1、在file下拉菜单里面选择new,再在其弹出的对话框中点选

,即可进入原理图编辑界面,右击鼠标选择(enter symbol..)则会弹出如右图的对话框,

然后左键分别双击对话框中Symbol File栏中的 AUTO、FENPIN和TONE则会调入相应模块的原理图,然后按照电子琴设计要求将各个模块连接起来,组成完整的电子琴演奏系统,如图3-5所示。

3-5 系统的整体组装设计原理图

将绘制好的原理图保存,确保无误后运行进行仿真。

2、时序仿真:(1)建立波形文件。(2)输入信号近点。(3)设置波形参数。(4)设置仿真时间宽度。(5)加上输入信号。(6)波形文件存盘。(7)运行仿真器。(8)观察分析波形。之后得到的仿真时序图如图3-6所示。

图3-6系统原理图仿真时序图

3.3 问题分析

在此次课程理论设计中,不可避免地遇到许多棘手的问题,一是对EDA技术的不太了解,二是对理论知识的学习不够扎实,初涉VHDL语言以致很多语法和语言基本结构、算法生疏,运用不灵活。因此,在编写源程序上遇到极大的难题,而且在编译运行程序时对出错的语句理解不到位,导致难于下手修正错误语句、语法,这使得在设计程序时遇到更大的很大的麻烦。还有就是VHDL语言的数据类型和语法结构都很容易造成混淆,比如IN STD_LOGIC_VECTOR(7 DOWNTO 0)语句,它有时既可以认为是从7下降到0,也可认为是从0上升到7,当输入有多位时,这就容易造成在读懂仿真波形图时读位数倒置,使仿真现象与理论结果相出入。

在分频模块程序设计时遇到了编译不出来,图形错误等编译时总是出现非法的错误,经过老师修改和指正,最后终于编译成功,完成了该系统的文本设计。

4 设计小结

通过这本的HDL课程设计,既锻炼了我的动手能力,也让我加深了对课堂上所学到的理论知识的理解,这给我提供了一个在学习生活中很难得的理论联系实际的机会,让了深刻体验到在对于设计时遇到的不同问题时,首先应该理解问题关键所在,因为用语言编写程序需要仔细认真的态度,一点点错误漏洞将导致整个源程序无法编译运行,阻碍下一步工作完成进度。

还有我也发现了在平时学习过程中难于发现的许多缺点不足。比如实践的机会过少,所学的理论知识不能够灵活运用,因此在遇到实际的问题时无法正确处理;再者在课堂上获得的专业知识过于浅显,很多的有关基本操作原理、操作方法都理解不了;课外知识了解的也过少,导致在课程设计初期,面对完全陌生的设计课题无从下手,不知所措。这就

提醒我在注重学好理论的同时,更要加强动手的能力,不要一味的照搬课本上的知识,要创新,才能在当今竞争激烈的社会中立足,记住,命运掌握在自己手里,一切都只能靠我们自己。

1 课程设计

1.1 课程设计目的……………………………………………………1 1.2 课程设计内容……………………………………………………………1 1.3 课程设计原理……………………………………………………………1

2 简易电子琴的设计过程

2.1 乐曲自动演奏模块………………………………………………………2 2.1.1乐曲演奏模块文本程序……………………………………………………………3

2.2 音调发生模块……………………………………………………………4 2.2.1音调发生模块文本程序………………………………………………………………5

2.3 数控分频模块……………………………………………………………6 .2.3.1数控分频模块文本程序

…………………………………………………………7

2.4 顶层设计…………………………………………………………………8 2..4.1顶层模块文本程序 ………………………………………………………………9

3 系统仿真

3.1 时序仿真…………………………………………………………………10 3.1.1乐曲自动演奏模块的仿真…………………………………………………………11 3.1.2音调发生模块的仿真………………………………………………………………11 3.1.3数控分频模块的仿真………………………………………………………………11 3.1.4简易电子琴整个系统的仿真………………………………………………………11

3.2原理图综合时序仿真……………………………………………………12 3.3 问题分析…………………………………………………………………13 4 设计小结…………………………………………………………14

EDA_电子琴课程设计 2

电子琴 课程设计报告

EDA课程设计 电子琴

简易电子琴课程设计my.

智力竞赛抢答器_eda_课程设计_报告电路图 副本

微机原理课程设计电子琴设计

微机原理及应用 电子琴课程设计

单片机课程设计——电子琴[小编推荐]

数字电子EDA课程设计八音电子琴

模电课程设计——简易电子琴的制作

EDA_电子琴课程设计
《EDA_电子琴课程设计.doc》
将本文的Word文档下载到电脑,方便编辑。
推荐度:
点击下载文档
点击下载本文文档