人人范文网 其他范文

数电实验报告范文(精选多篇)

发布时间:2022-09-14 21:02:00 来源:其他范文 收藏本文 下载本文 手机版

推荐第1篇:数电实验报告

实验报告书写格式

一、验证性实验报告:

学院: 计算机科学与信息学院

专业班级:

计算机科学与技术081

课程名称:数字电子技术

姓名

同组人姓名

日期

[实验项目] [实验目的] [实验仪器设备]—最好把仪器的型号也写上

[实验原理]—不要照搬教材,应按自己的理解用简练的语言来概括;还要画原理图,要求作图要规范;还要写出相关公式。 [实验内容]—指实验步骤和操作方法

[实验数据记录及处理] —通常是列表格来记录数据;或是记录波形,画波形要规范;或是观测现象等。 [实验注意事项] [回答思考题] [心得体会] 心得体会是多方面的,亦是机动的、灵活的。在做实验的过程中比如故障排除的体会,实验改进的意见,以及其它实验的尝试等(选作部分),个人应有个人的意见体会。

在实验报告中发现这个部分完全一致的,立刻作废。

二、综合性和设计性实验报告

学院: 计算机科学与信息学院

专业班级:

计算机科学与技术081

课程名称:数字电子技术

姓名

同组人姓名

日期

[实验项目] [实验目的] [实验仪器设备] [实验原理]* [设计内容]([实验内容])

[设计过程]—包括逻辑抽象,画真值表(或卡诺图),写出逻辑表达式,选用器件,画出电路图(或实物接线图)。

[实验记录] —在实验箱上接好设计的线路,进行测试,记录测试结果。 [实验结论]—总结通过实验得到什么样的结论。 [实验注意事项] [回答思考题] [心得体会]

推荐第2篇:北邮数电上实验报告汇总

北京邮电大学电子工程学院

北京邮电大学

数字电路与逻辑设计实验

学院:

班级:

姓名:

学号:

班内序号:

1 北京邮电大学电子工程学院

实验一

Quartus II原理图输入法设计

一、实验目的:

(1)熟悉Quartus II原理图输入法进行电路设计和仿真。 (2)掌握Quartus II 图形模块单元的生成与调 (3)熟悉实验板的使用

二、实验所用器材: (1)计算机 (2)直流稳压电源

(3)数字系统与逻辑设计实验开发板

三、实验任务要求

(1)用逻辑门设计实现一个半加器,仿真验证其功能,并生成新的半加器图形模块单元。

(2)用(1)中生成的半加器模块和逻辑门设计实现一个全加器,仿真验证其功能,并下载到实验板测试,要求用拨码开关设定输入信号,发光二极管显示输出信号。 (3)用VHDL语言实现全加器。

四、实验原理图和实验波形图

1、全加器实验原理图。

2 北京邮电大学电子工程学院

2、全加器实验波形图。

五、仿真波形分析

由仿真波形可以看出,当a,b,ci有两个或者两个以上为1时,产生进位,即co输出为1,而输出s则是当a,b,ci输入偶数个1时为0,奇数个1时为1,满足实验原理,仿真波形正确。

3 北京邮电大学电子工程学院

实验三

VHDL组合逻辑电路设计

一、实验目的:

(1)熟悉Quartus II原理图输入法进行电路设计和仿真。 (2)掌握Quartus II 图形模块单元的生成与调 (3)熟悉实验板的使用

二、实验所用器材: (1)计算机 (2)直流稳压电源

(3)数字系统与逻辑设计实验开发板

三、实验任务要求

(1)用VHDL语言设计将8421计数器,分频器和数码管译码器连接使用,实现在指定数码管滚动显示0-9,其余数码管不亮,并带有清零功能,并下载到实验板显示计数结果。

四、实验VHDL代码和仿真波形图 (1)VHDL代码 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity xianshi is port( clk,clr:in std_logic;

4 北京邮电大学电子工程学院

b:out std_logic_vector(6 downto 0); cat:out std_logic_vector(5 downto 0)); end xianshi;

architecture a of xianshi is signal ctmp:std_logic_vector(3 downto 0); signal tmp:integer range 0 to 1249999; signal clktmp:std_logic; signal e:std_logic_vector(6 downto 0); begin p1:proce(clk,clr) begin if clr=\'0\' then tmp

5 北京邮电大学电子工程学院

p2:proce(clktmp) begin if clr=\'0\' then ctmp

p3:proce(ctmp,clr) begin if(clr=\'0\') then cate

6 北京邮电大学电子工程学院

when\"0001\"=>eeeeeeeeee

7 北京邮电大学电子工程学院

五、仿真波形分析

由仿真波形图可以看出,输出cat始终未110111,只有第三个数码管亮,输出b在循环变化,b控制数码管显示不同的数字,所以这是一个0~9滚动显示数码管的波形图。

六、模块端口说明及连接图

8 北京邮电大学电子工程学院

实验四

VHDL组合逻辑电路设计

一、实验目的:

(1)熟悉Quartus II原理图输入法进行电路设计和仿真。 (2)掌握Quartus II 图形模块单元的生成与调 (3)熟悉实验板的使用

二、实验所用器材: (1)计算机 (2)直流稳压电源

(3)数字系统与逻辑设计实验开发板

三、实验任务要求

(1)用 VHDL 语言设计并实现一个 8×8 点阵行扫描控制器,要求从上至下逐行循环 点亮点阵(红色或绿色均可),每行点亮时间为 0.5 秒。

(2)用 VHDL 语言设计并实现一个 8×8 点阵行扫描控制器,要求从上至下逐行点亮 点阵,第一行为红色,第二行为绿色,依次类推,直至点亮所有行,然后全部熄灭,再重新从第一行开始。

四、实验VHDL代码和仿真波形图 (1)单色逐行点亮VHDL代码 library ieee; use ieee.std_logic_1164.all;

9 北京邮电大学电子工程学院

use ieee.std_logic_unsigned.all;

entity dianzhen is port( clk,clr:in std_logic; col,row:out std_logic_vector(7 downto 0)); end dianzhen;

architecture a of dianzhen is signal a: integer range 0 to 2499999; signal clktmp:std_logic; signal ctmp:std_logic_vector(2 downto 0); begin p1:proce(clk,clr) begin if clr=\'0\' then clktmp

10 北京邮电大学电子工程学院

a

p2:proce(clktmp) begin if clr=\'0\' then ctmp

p3:proce(ctmp) begin case ctmp is when\"000\"=>row

11 北京邮电大学电子工程学院

when\"001\"=>rowrowrowrowrowrowrowrow

(2)单色逐行点亮仿真波形图

12 北京邮电大学电子工程学院

(3)双色逐行出现点阵VHDL代码 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all;

entity dianzhen is port( clk,clr:in std_logic; colr,colg,row:out std_logic_vector(7 downto 0)); end dianzhen;

architecture a of dianzhen is signal a: integer range 0 to 2499999; signal clktmp:std_logic; signal ctmp:std_logic_vector(2 downto 0); signal rowtmp:std_logic_vector(7 downto 0); signal colrtmp,colgtmp:std_logic_vector(7 downto 0); begin p1:proce(clk,clr) begin if clr=\'0\' then clktmp

13 北京邮电大学电子工程学院

elsif clk\'event and clk=\'1\' then if a=2499999 then a

p2:proce(clktmp) begin if clr=\'0\' then ctmp

14 北京邮电大学电子工程学院

p3:proce(ctmp) begin if(clr=\'0\') then rowtmprowtmprowtmprowtmprowtmprowtmprowtmprowtmp

15 北京邮电大学电子工程学院

when\"111\"=>rowtmprowtmp

五、仿真波形分析

(1)首先是单色逐行点亮的仿真波形,可以看出, 每列接的都是高电平11111111,每行依次变化,由11111110变为01111111(由于管脚的位置接法,虽然0在最后一位出现,但是是第一行先亮),实现从上到下的依次点亮。

(2)其次是双色逐行点亮的仿真波形,我做的是交替点亮过程,所

16 北京邮电大学电子工程学院

以在下一行点亮的时候,上一行会熄灭,并且颜色会改变,从波形可以看出colr和colg不同时为11111111,而是一个为11111111时,一个为00000000,然后每行row依次改变。

六、模块端口说明及连接图 (1)单色逐行点亮点阵控制电路

(2)双色逐行点亮点阵

17 北京邮电大学电子工程学院

本次实验故障及问题分析

1、在刚开始实验时,不懂得怎么命名文件,也不知道顶层设计名要与文件名一样,出现了在编译时总是报错,但是却找不到原因的情况。

2、在设置波形时,不知道如何设置时钟周期,使得仿真总是不对。后来学会了,先把输入group成一组,然后直接点击

出现面,然后就可以设置了。

这个界 北京邮电大学电子工程学院

总结和结论

通过本学期的数字电路与逻辑设计的实验课程,我基本掌握了quartus的使用方法,VHDL语言的使用方法,并且学会了怎么将设计好的程序下载到实验板上,用实验板上的开关控制led灯或者是数码显示管。虽然在实验过程中,编译总是会有一些小错误,比如,忘了写end if,忘了分号等等,但是,实验课给我一种学以致用的感觉,让我对数字电路与逻辑设计这个课程有了更好的了解,同时,也给我学习课本提供了帮助,当遇到课本上的疑难问题,用quartus仿真就可以清楚观察波形翻转的时间,进位或是借位信号等等。

从这四次实验课,我收获的不仅是quartus的使用方法,更是一种对待课业的态度,不可以粗心大意,要仔细认真,不然就会像仿真结果一样,不断的报错。总而言之,实验课给了我们一个很好的运用知识的平台,我们应该珍惜实验的机会。

推荐第3篇:电镀铜实验报告

镀金在工业、装潢、艺术等诸多领域都有着重要的应用,但目前我国现有的技术,特别是工业上所使用的镀金技术都存在着高能耗、高污染、低效率的缺陷,造成能资源浪费、成本过高、环境污染等一系列问题,不利于建设资源节约型、环境友好型的社会,阻碍新型化工业的发展。

同时,我们小组的成员在生活中发现,有许多金属采用了镀铜技术,使金属更为美观、耐用。但经过上网搜索发现,绝大多数镀铜技术为有电镀铜,只能用于工业,对于小件金属镀铜显得太过复杂,出于为祖国科技发展贡献力量的热情,同时也出于个人兴趣以及自我提高、自我充实的目的,我们小组设计实验,探寻节能、简便、实用、可行,更适合于在生活中应用的无电镀铜技术。

二、课题研究的目标:

对无电镀铜的方法有所了解,用简易工具、原料,探寻无电镀铜的方法:在铁钉、刀片等金属上镀上一层铜膜。同时在传统镀铜工业的基础上,增进知识,做一个有心的化学学习者。

三、课题的新颖性:

出于对化学学科的浓厚兴趣,小组成员主动提出探究镀铜的方法,在课题研究的过程中打破了传统镀铜思想的束缚,自己动手做试验,并大胆提出问题与猜想,用一种全新的理念思考问题,另辟蹊径,探寻新思路、新方法。

四、可行性分析:

运用电镀的原理,设计了实验,该实验遵循科学性、可行性,小组成员自备实验器材与相关药品,比如常见金属铜、铁,普通家庭中易获得的食盐,白醋等进行实验,简便可行。

五、课题研究方案(内容、方法、途径): 1.通过高一第一学期对金属的学习,小组成员对于镀金属的方法产生了浓厚的兴趣。 2.小组成员通过图书馆,网络等多方面途径,查阅大量资料,搜集和积累有关文献,对每一种传统镀铜方法进行细致、全面的评价。 3.大家齐心协力经过严密的讨论,设计了实验。 4.按照设计的实验,自备实验药品,请教化学老师,作了充分的准备工作,自己动手。 5.在实验后,大家撰写论文和实验报告,亲身感受无电镀铜的优点与化学的神奇魅力。

六、论文:

对“无电镀铜”的研究

(一) 引子

无论是从化学还是生活的领域上说,我们对铜并不陌生 。铜是人类最早发现的古老金属之一,早在三千多年前人类就开始使用铜。自然界中的铜分为自然铜、氧化铜矿和硫化铜矿。现在世界上80%以上的铜是从硫化铜矿精炼出来的,这种矿石含铜量极低,一般在2-3%左右。铜具有许多可贵而优异的物理化学特性和奇妙的功能,不但为人类社会的进步作出了不可磨灭的贡献,而且随着人类文明的发展不断开发出新的用途。 从化学1中,我们已学了不少关于铜的化学知识。铜的性质,特征,种类及冶炼方法。我们知道了铜的热导率很高,化学稳定性强,抗张强度大,易熔接,且有很好的抗蚀性、可塑性、延展性。而常见的铜,有黄矿铜,孔雀石等。至于冶炼铜的方法,我们已学了电解法(电解氯化铜溶液),湿法炼铜,生物炼铜和粗铜的精炼等。

那么,如何用简便的方法镀铜,以此来应用于我们的生活中呢?经过化学课上的学习,本化学小组对镀铜产生了浓厚的兴趣,大家共同合作进行了深入的探究。

(二)传统镀铜方法

一般来说,现在所使用的传统镀铜方法有非金属流液镀铜法,无氰镀铜液及无氰镀铜法,通路孔镀铜法,小直径孔镀铜法,不溶阳极电镀铜法,半导体活化材料化学镀铜法,非水体系储氢合金粉的化学镀铜法,绝缘瓷套低温自催化镀铜法,碳纤维均匀镀铜工艺,陶瓷玻璃常温化学镀铜法等。

由于涉及专利问题,以上镀铜方法均不能找到详细过程。但是我们在探究的过程中发现,以上这些镀铜方法往往耗资巨大,因为大多镀铜工艺适用于工业,因此在操作过程中具有一定危险性与困难性,也曾发生过在镀铜工艺过程中工作人员中毒的事件。因此,探究新的,更安全,更合理,更简便的镀铜方法也是科学家们迫在眉睫的研究问题。

(三)关于无电镀铜

此为日本东京株式会社日矿材料申请的专利。一种无电镀铜溶液,其特征在于与第一还原剂一起使用作为第二还原剂的次磷酸或次磷酸盐,并同时使用抑制铜沉积的稳定剂。第一还原剂包括福尔马林和二羟乙酸,次磷酸盐包括次磷酸钠、次磷酸钾和次磷酸铵。抑制铜沉积的稳定剂包括烟酸、硫脲、2-巯基苯或巯基乙酸。在较难发生镀敷反应的镜面(例如半导体晶片等)上无电镀铜时,该无电镀铜溶液可以在降低的温度下上实现均匀镀敷。

铜金属的无电电镀制成的阻挡层沉积能给人们带来很多好处。它适应集约型工业的特点,环保安全,适合科技工作者的研究与工业上技术的进一步革新;在工业上有广泛应用,如上图所示,它可作为电镀铜的有效补充,是高新工艺的重要组成部分。据乐观估计,未来在镀铜工业上无电镀铜将会有更广阔的发展前景。

无电镀铜的优点中,有对双向导电的选择性,有再沉积一种无定形合金的可能性,有通过加入一种第三组份而使二元合金的性质增强化的好处,有高可靠性和低成本费用。在集成电路的结构中在铜和钴的面上,在碱性溶液中常沉积一层富钴的钴——钨——磷三元合金。合金中磷的成分较高(以重量计约11%),低的第三组份钨(约以重量计占2%)。

以无电镀铜膜作为后续电镀铜的晶种层,得到纯度相当高,表面粗糙度低,电阻系数低,残留应力低,填充能力极佳的铜金属内连线,具有绝佳的平整度与良好的阶梯覆盖性, 铜镀层与

几何结构一致成份纯且均匀,此一整合性低温电化学镀铜法,非常适合作为下一时代90 nm 以下超大型积体电路中金属内连线之使用。

(四)探究无电镀铜的实验 [实验目的]探究学生利用日常材料进行无电镀铜的可行性 [实验原理] 所谓电镀,就是应用电解原理,在某些金属表面镀上一薄层其它金属或合金的过程。 [关于实验的猜想与问题] 1.铜片在氨水中浸没时与在玻璃罐中时的状态是否相同? 2.在将铜片放入溶液中后,为什么铜绿会消失,铜片会露出金属的本色,而铜片表面又为

什么会有气泡产生?

[实验时间]2007年2月2日下午第四节课 [实验场所]效实中学化学实验室 [实验用品] 氨水、铜片(约20枚)、盘子、足量餐巾纸、百洁丝、铁钉(约4枚)、足量食盐、足量白醋,茶匙,玻璃罐2个,盘子2个,纸巾若干 [实验步骤]

1、取20枚铜片及足量餐巾纸,准备好盘子放于通风处,先将几层纸巾均匀平铺于盘子上,然后将铜片均匀置于餐巾纸上。

2、将氨水淋在铜片上,使得铜片刚好浸没在氨水中,晾干。观察到氨水在倒入盘子后迅速放出有刺激性气味的气体,在很长一段时间内刺鼻气味没有消失。当氨水浸没铜片后约三分钟,餐巾纸上呈现出蓝色,且在铜片晾干后,表面形成一层铜绿。(铜片与氨水和空气中的二氧化碳反应,生成了碱式碳酸铜和氨气,放出的有刺激性气味的气体即为氨气。推测实验方程式:2cu+nh3·h20+co2 =cu2(oh)2co3+nh3↑)

3、将白醋倒入玻璃瓶中(约半瓶),然后将半勺食盐倒入玻璃瓶中,用茶匙搅拌直至食盐完全溶解。 4将20枚铜片放入玻璃瓶里静置。观察到铜片表面的铜绿基本消失,铜片露出铜的金属本色,铜片表面有气泡产生。 5.用百洁丝擦拭铁钉表面,直到铁钉露出金属光泽。然后将铁钉放入玻璃罐中,静置过夜。观察到:将铁钉投入溶液后,立即有大量气泡产生,并附着于其表面。 6.将玻璃瓶静置于阴凉通风处二至三日,观察到:过夜后铁钉表面形成了一层铜膜,并随着时间的推移铜膜逐渐致密。当玻璃瓶被静置后数日,仍能看到有气泡产生,同时溶液呈橘红色,铁钉与铜片较刚放入时显现出更明亮的光泽。 [误差分析]

1、因时间关系,铜片在没有完全晾干的情况下,或是铁钉表面的铁锈没有完全被百洁丝擦去,未充分显出光泽时,即被投入白醋中,可能造成误差或使现象不明显。

2、白醋及氨水的质量得不到充分保证,用量尚需斟酌。

3、由于实验所需材料均为自备(除氨水与铜片外),因此与专业材料相比,难免在用量与用法上有所误差,这可能造成实验结果不明显。 [实验结论] 经过较长时间的静置,玻璃瓶中的铁钉表面已覆盖上一层薄膜,表面显铜的自然光泽,

-这表明用此法镀铜取得一定成效。(cu-2e=cu2+)

猜测铜离子与醋酸以及氢氧根反应,生成铜、甲酸氢根与氢气。推测实验方程式:+--cu2+2ch3cooh+4oh=cu+2hc2o4+5h2↑

(五)对于实验的评价 1.关于化学学习: 根据以上结论,我们了解了“无电镀铜”的基本方法,将“有电镀铜”和“无电镀铜”紧密的结合在一起,并且这个实验在实验室就能完成,简单方便,我们从中更体会到了化学的灵活性以及化学与生活的紧密联系。 2.关于实验: 科学性:

不断地通过学习、思考、研究获取新知识,并且学以致用,解决实际问题。 时效性: 无电镀铜是当今社会的一大化学热点,对它的研究就意味着跟上时代的脚步,就是对世界的充分了解,就是快速的溶入整个世界,具有现代化学理念。 创新性:

在实验室中进行这个无电镀铜的实验,不同与其他的试验,试验场所发生了质的飞跃,从仪器精密的工厂了,来到了简单方便的实验室,把程序繁琐的试验过程变成了简单的试验探索,这也就是其创新意义之所在:删繁就简。 探索性:

“无电镀铜”对于大多数的同学来说还是比较陌生的,所以无电镀铜这个试验也是对不知道的化学领域的探索研究。通过这次实验,我们不仅加深了对化学的了解,更是体验到了探索精神和乐趣。 合理性:

本次课题研究,先由课题选择、查阅资料、再制订实验方案、再进行实验,以验证理论推想,最终得出结论。理论指导了实践,实践验证了理论,最后形成结论,完成了“理论——实践——理论”三步走,整个过程思路清晰、完整、有条理。 可行性:

本次课题研究中所用器材和药品都是很常见且简易的,基本可以在家庭的厨房中找到,成本低廉,这也是本次课题——无电镀铜的最大优点。 有待进一步完善的问题:

其一是速度太慢,需1—2天小铁钉才能完整地镀上铜膜; 其二是4—5天后仍浸在介质中的刀片表面铜膜脱落,刀面呈黑色。可见如要将该法应用于工业生产中需对此法的生产工艺作进一步的研究,以完善其实用性。 3.关于实验细节:

值得学习的地方:

(1).实验进行的严密性:这里的严密性不仅仅是指实验步骤的严密,也是指实验前对可能发生的意外作出的周密思考,对于有刺激性气味的化学反应过程,均在通风处完成;所有药品的取、放以及实验操作都严格遵守实验规范。

(2).多次实验看结果:不同与普遍的化学实验,出于严谨考虑,本次实验我们作了多组平行试验,在实验过程中及时记录实验现象,并持续跟踪观察、记录,使实验结果更有代表性,更能说明问题。

可以改进的地方:

(1).实验器材的精确性:实验器材应该新买,而不应该个人准备,虽然这只是一个小实验,但药品的纯度则会对实验造成影响。 4.关于小组合作:

(1).在此实验前,小组成员进行了积极且充分的准备,除铜片和氨水外,所有的器材和药品都由组员从各自家中带来,并及时与老师沟通借用所缺的实验用品、确定实验场所,并且查阅相关的资料。

(2).实验时,组员团结一致,分工合作,服从命令,合理统筹。整个实验过程紧凑、高效,用时约2/3小时,又快又好地完成了预期的实验任务。在实验中,小组成员本着安全实验,实事求是的原则和科学严谨的态度,力求圆满。

(3).实验结束后,在组长牵头主持下,小组进行了实验总结,并着手撰写相关论文和实验报告。

5.关于实验成果

我们成功地在铁钉、刀片上镀上了一层铜膜,取得了预期的效果,验证了实验前的推理和判断。

七、成果形式:

两个装有铜片的玻璃罐,一份综合的实验报告,一篇研究性学习活动论文。

八 感想 :

这次的实验不仅对于我们以后在化学的学习上会有很大帮助,而且在以后的社会生活中也会受益无穷。因为我们明白了如何合理利用铜及对铜的收藏。这也为步入科技发达的社会做好了很好的理论铺垫。这次实验不仅有利于整个大的环境,也有利于我们这黄金一代综合素质的提高,真可谓是益处多多,我们都希望以后还能再进行这样的实验。

在此次,也是首次课题研究中,组员们在组长的主持下,积极参与,积极探索,集思广益,是本次课题取得成功的重要因素。在研究过程中同学们表现出来的善于思考、实事求是、互助合作、科学合理的工作方式是我们一辈子受用的。

九 参考书目:

《物理化学》 傅献彩、沈文霞、姚天扬主编 高等教育出版社 2000年

《金属材料学》作者:李云凯 北京理工大学出版社 2006年1月

《近代化学导论》上下册 高教出版社 2002年版

《化学工程基础》 武汉大学主编 高等教育出版社 第一版篇2:实验四 光亮电镀铜 实验四 光亮电镀铜

一、目的及要求

1、熟悉电镀小试的装置和仪器设备。

2、掌握光亮镀铜溶液的配制及预镀工艺。

3、进行赫尔槽试验,分析光亮剂影响。

二、仪器、化学试剂

直流电源、电炉、控温仪、赫尔槽及试片、电解铜板;

硫酸铜、硫酸、镀铜光亮剂、镀镍溶液、镍阳极。

三、实验步骤

1、工艺流程

试片准备――酸洗――水洗――除油――水洗――浸蚀――预镀镍――(或铜锡合金)――水洗――酸性亮铜――水洗

2、溶液配方及工艺条件

预镀镍溶液:

硫酸镍: 120~140g/l 氯化钠: 7~9 g/l 硼酸: 0~40 g/l 无水硫酸钠: 50~80 g/l 十二烷基硫酸钠: 0.01~0.02 g/l ph: 5.0~6.0 温度: 30~50℃

电流密度: 0.8~1.5a/dm2 酸性亮铜溶液:

硫酸铜: 200~220 g/l 硫酸(1.84): 60~70 g/l 四氢噻唑硫酮: 5×10-4 ~3×10-3 g/l 盐酸: 0.02~0.08 g/l 十二烷基硫酸钠: 0.05~0.2 g/l 温度: 10~30℃(室温)

电流密度: 1~4 a/dm2 搅拌: 阴极移动

3、用赫尔槽实验观察光亮剂对同层质量影响,记录试验情况。

五、思考问题及要求

1、酸性亮铜电镀前为什么要进行预镀?预镀工艺有哪几种?

2、溶液ph对铜层质量有什么影响?

4、以论文形式写出光亮剂对镀层质量影响为内容的实验报告。

附录 用有机玻璃板自制赫尔槽

赫尔槽结构简单,制造和使用方便。目前国内外已广泛应用于电镀实验和工厂生产的质量管理,特别是应用于光亮电镀添加剂的控制,成为电镀工作者不可缺少的工具,267ml赫尔槽尺寸如图。材料:有机玻璃;槽深:65;厚:3-5

一、目的要求

掌握有机玻璃黏结技术,自制267ml赫尔槽

二、药品与材料:

有机玻璃板3~5mm厚

钢锯、细纱布180~270#、脱脂棉。 100ml棕色试剂瓶1个

三氯化烷、乙醇

三、有机玻璃黏结剂配制: 配方:三氯甲烷95ml 乙醇1~2 ml 有机玻璃碎块或碎屑5克。

按上述比例配入棕色瓶中,避光、密封备用。

四、制作:

1、用钢锯按图中尺寸下料

2、用细纱布将毛边打磨平整

3、黏结:用脱脂棉先蘸点酒精将有机玻璃需要粘合的地方擦洗干净,然后均匀地涂上一层

有机玻璃粘合液,将两块吻合压紧待溶液挥发即可粘牢。

4、如果有机玻璃板面上有玻璃划痕或象毛玻璃一样模糊不清,可将白色抛光膏涂抹到布上

反复擦磨直至透明光洁,没有抛光膏可用牙膏代替。

检查不漏水即可使用,若有渗漏现象,应再涂些黏合剂。篇3:实验一电镀铜 (1)1 实验一 电镀铜

一、实验目的

1. 了解电镀的主要装置。 2. 了解镀铜电解液的主要成分和作用。 3. 掌握影响镀层质量的主要因素。

二、实验仪器及材料

1. 仪器:直流稳压源、导线、化学试剂、电子天平、铜板,烧杯(500ml 2只, 100ml1只),鳄鱼夹,砂纸,一元硬币。 2. 药品:naoh,na4p2o7,cuso4,na2hpo4,nh4no3,na2co3,na3po4, na2sio3,肉桂酸。

图1 电镀cu实验装置

三、实验原理

电镀是指在含有欲镀金属的盐类溶液中,以被镀基体金属为阴极,通过电解作用,使镀液中欲镀金属的阳离子在基体金属表面沉积出来,形成镀层的一种表面加工方法。

本实验采用焦磷酸盐镀铜液,能获得厚度均匀、结晶致密的镀铜层,而且操作简便、成本较低且污染小。这种电镀液的主要成分是硫酸铜和焦磷酸钠(na4p2o7)在溶液中形成的配合物焦磷酸铜钠,反应式为:

cuso4+2 na4p2o7→na4[cu(p2o7)2]+ na2so4;

配离子[cu(p2o7)2]6-较稳定,溶液中游离的cu2+浓度很低,所以阴极上的电极反应为: [cu(p2o7)2]6-→ cu2+ +2p2o74- cu2++2e→cu 总反应:[cu(p2o7)2]6- +2e-→cu+2p2o74-

四、实验内容及步骤 1. 实验内容

(1)熟悉镀铜装置的各种仪器及作用;

(2)配制镀铜电解液;

(3)观察镀铜工艺过程;

(4)检查铜镀层质量,分析工艺参数对镀层质量的影响。 2. 实验步骤

(1) 硬币的预处理。用砂纸打磨硬币,然后用去离子水冲洗干净,放入50℃ 的除油液中,超声清洗10分钟,将硬币用去离子水冲洗干净并擦干,用

电子天平称量,质量记为m1。

除油液配方:naoh 30g/l,na2co3 30g/l,na3po4 30g/l,na2sio3 4g/l。 (2) 电镀条件。以铜片为阳极,硬币为阴极,接通直流稳压电源,将盛电镀液

的烧杯置于水浴锅中,在25℃下,电流密度为0.50 -0.75a·dm-2,电镀液的ph值为8.5,极板间距为1.5cm,电镀时间在10分钟~l5分钟的条件下进行电镀。

电镀液配方:na4p2o7 150g/l,cuso4 40g/l,na2hpo4 25g/l,nh4no3 12g/l,肉桂酸3g/l。

(3) 镀后处理及镀件质量比较。电镀完毕后将硬币用去离子水冲洗干净并擦

干,用电子天平称量,质量记为m2,观察镀层表面的牢固程度和光亮程度。

五、实验结果与讨论

六、实验注意事项

1. 电解液化学品有一定的腐蚀和污染,实验和观察过程中应避免手、皮肤直接接触; 2. 实验完成后电解液必须倒入专用容器集中处理,不能随意倒入下水道,避免污染和腐蚀环境。镀槽和电极零件应清洗干净。篇4:化学电镀法材料工艺实验报告

化学电镀法材料工艺实验报告

罗强 材料物理112 2011034070

一、实验原理

本次电镀实验利用电解池原理,将铜锌合金板接在电源正极上作为电解池的阴极,将石墨接在电源负极上作为电解池的阳极。在通电后,在阴极附近ni离子被还原成金属附着在阴极的铜锌合金板上,以完成电镀过程。

二、实验仪器与药品

电解槽、电源、两块铜锌合金板、石墨棒、适量0.1mol/lnino3溶液、烧杯、量筒、玻璃棒、电子天平、尺子、烘箱。

三、实验条件

1、电解槽中不用鼓泡,电解时可用玻璃棒搅拌。

2、电流用0.3a。

3、电解时间为15min。

4、电解在室温下进行。

四、实验过程

1、抛光两块铜锌合金片,用清水清洗后用去离子水冲洗,然后用乙醇溶液清洗,再用吹风吹干。吹干后分别置于电子天平上称量,质量分别记为m1=84.7251g和m2=86.1009g。(注意:m1为放入电解槽后离石墨棒较近的板子,m2为放入电解槽后离石墨棒较远的板子)

2、将两块板子放置在电解槽中,并固定好石墨棒。用尺子测出石墨棒与合金板的最近和最远距离,分别记为l1=5.55cm、l2=12.92cm。

3、用量筒取适量0.1mol/lnino3溶液倒入电解槽中。

4、连好电路开始电解过程,时间为15分钟。在电解过程中,时常用玻璃棒搅拌溶液,使溶液浓度随时保持均匀。

5、取出镀好的合金板和石墨棒,倒掉废液,将电解槽洗净放回原位。用去离子水清洗合金板,洗净后放入烧杯,将烧杯置于烘箱中烘15min,中间可取出两次观察镀层情况。

6、将烘干的合金板置于电子天平上称量,质量分别记为m1’=84.7550g和m2’=86.1175g。

7、根据数据和公式计算分散能力,得出结论。

8、收拾好实验用具离开实验室。

五、数据计算 k=l2/l1,m1=m1’-m1,m2=m2’-m2 分散能力t=[(k-m1/m2)/(k-1)]*100% 代入数据计算可得t=43%

六、实验结果

该实验要求得到的t值应小于50%,我们得到的数据非常符合这个标准。

七、实验中存在的问题及注意事项

1、电解过程中用玻璃棒搅拌时应控制速度,并且小心不要

碰到合金板,以免影响镀膜效果。

2、从烘箱中拿出烘干的镀板时,发现有些“镀层”掉在了烧杯中,其实这些“镀层”并不是镀上的ni,只是一些其他的杂质,并不影响结果。

推荐第4篇:电商实验报告

B2B实验报告

班级:会计一班

姓名:任孔达

学号:20153116

1 申请个人账户

选择 电子支付模块

王军要申请他在工商银行的个人账户,首先选择工商银行 点击【个人账户申请】 选择账户申请下的 个人账户注册申请 填写注册信息,然后点击下方的【申请】

2申请企业账户

点击【企业账户申请】

按照申请要求,填写申请表。填写完成后,点击表格下方的【申请】,等待银行柜台审核

2.1.2网上银行支付初步

1.个人银行存款

存款:王军要在自己的账户中存款十万元。首先点击【进入银行柜台】 在存款金额中填入所存入的金额,然后点击【确认存款】

2.企业账户开通付款通道

进入企业银行柜台

在进入界面的左边选择 企业付款通道申请 填写申请表。其中的实时反馈URL,是指网页地址,是因特网标准资源地址。填写完成后,点击【申请】,等待银行的审批

2.1.3支付通初步

1.支付通服务商绑定企业银行账户 2.注册支付通账户

注册支付通账户,点击 支付通平台 后边的【进入】 使用手机注册

在弹出的页面中 输入手机号码和验证码,点击【同意并确认注册】 第一步;填写账户名

填写相关信息,点击【同意以上条款,并确认注册】

3.支付通账户充值

在 我的支付通 中选择 充值 。王军是要给自己的账户充值,而他的开户银行是工商银行,所以选择工商银行,充值10000元。输入金额,点击【下一步】

接着,点击【去网上银行充值】

确认【去网上银行充值】,出现充值的订单,按要求输入自己的银行账户和密码,以及附加码,点击【确定】

2.2B2B实践

供应商注册

进入B2B平台,免费注册账号

填写注册信息,确认信息填写无误之后,勾选 接受服务协议 点击【同意服务条款,提交注册信息】

提交成功后,需要到邮箱激活

点击 ;点击进入申请成功按钮。 进入买家

点击右上方 发布消息按钮

进行支付宝绑定,点击进行设置,输入支付宝账号,然后点击确认,在新跳出的窗口输入支付宝登陆密码

在另跳出的对话框中输入相关信息,点击登陆。会跳出登陆成功的对话框

2.2.1B2B平台中买卖双方的业务功能及交易过程

供应商发布商品,申请诚信通,管理网站 发布产品信息

在供求信息中可以看到有发布供求消息,选择产品信息,点击【选好了,继续】 填写基本信息,点击【择好了,继续】 填写产品的详细信息,并确认发布

如果觉得刚刚发布的求购信息有问题,也可以再修改。

供应商申请诚信通

买家进入,点击网站管理中的页面设计,然后填写相关资料,提交。 点击 网上在线支付 接下来就是付款的程序。

供应商管理网站

页面设计:可以对页面进行风格的选择和LOGO的上传 风格设计,系统提供了四种色调的风格模板供用户选择。

在 当前logo标签下,更换网站logo和banner 公司介绍:与前面的公司简介类似

公司的新闻等一些情况可以在公司的动态中添加,添加完成后如发现有错误还可以修改

对于一些友好公司,可以在 友情链接 里面添加,添加完成之后也可以修改

公司相册:公司的一些照片资料可以上穿到相册,并进行管理。 首先,添加相册类别

接下来,选择相册类别,添加相册。

浏览浏览分析:

添加产品会出现产品列表,从列表中选择要展示的产品。

供应商管理诚信通档案

添加诚信参考人

采购商和供应商进行买卖交易

买卖交易过程

在李明提交订单之后,补充运费

由于订单量大给予100元优惠 等李明付款完成,进行发货 填写物流信息,点击【确认】

之后买卖双方进行评价

完成交易

推荐第5篇:模电实验报告

模拟电子技术

实验报告

学院:电子信息工程学院 专业: 姓名: 学号: 指导教师:

2017年】实验题目:放大电路的失真研究

目录

一、实验目的与知识背景 ..................................................................3 1.1实验目的 .......................................................................................3 1.2知识背景 .......................................................................................3

二、实验内容及要求..........................................................................3 2.1基本要求 .......................................................................................3 2.2发挥部分 .......................................................................................4

三、实验方案比较及论证 ..................................................................5 3.1理论分析电路的失真产生及消除 ................................................5 3.2具体电路设计及仿真 ....................................................................8

四、电路制作及测试........................................................................12 4.1正常放大、截止失真、饱和失真及双向失真 ...........................12 4.2交越失真 .....................................................................................13 4.3非对称失真 .................................................................................13

五、失真研究思考题........................................................................13

六、感想与体会 ...............................................................................16 6.1小组分工 .....................................................................................16 6.2收获与体会 .................................................................................16 6.3对课程的建议 .............................................................................17

七、参考文献 ...................................................................................17

一、实验目的与知识背景

1.1实验目的

1.掌握失真放大电路的设计和解决电路的失真问题——针对工程问题,收集信息、查阅文献、分析现有技术的特点与局限性。提高系统地构思问题和解决问题的能力。

2.掌握消除放大电路各种失真技术——依据解决方案,实现系统或模块,在设计实现环节上体现创造性。系统地归纳模拟电子技术中失真现象。

3.具备通过现象分析电路结构特点——对设计系统进行功能和性能测试,进行必要的方案改进,提高改善电路的能力。

1.2知识背景

1.输出波形失真可发生在基本放大、功率放大和负反馈放大等放大电路中,输出波形失真有截止失真、饱和失真、双向失真、交越失真,以及输出产生的谐波失真和不对称失真等。

2.基本放大电路的研究、乙类功率放大器、负反馈消除不对称失真以及集成运放的研究与应用。

3.射极偏置电路、乙类、甲乙类功率放大电路和负反馈电路。

二、实验内容及要求

2.1基本要求

1.输入一标准正弦波,频率2kHz,幅度50mV,输出正弦波频率2kHz,幅度1V。

2.

a.输出以下各种类型的波形: (1) 标准正弦波

(2) 顶部、底部、双向失真 (3) 交越失真 b.设计电路并改进。

c.讨论产生失真的机理,阐述解决问题的办法。2.2发挥部分

a.输出不对称失真的波形。 b.设计电路并改进。

c.讨论产生失真的机理,阐述解决问题的办法。

三、实验方案比较及论证

3.1理论分析电路的失真产生及消除

a.正常放大、截止失真、饱和失真及双向失真

(1)饱和失真

产生原因:静态工作点过高

如图3-1-1,当静态工作点太高时,放大器能对输入的负半周信号实施正常的放大,而当输入信号为正半周时,因太大了,使三极管进入饱和区,ic=βib的关系将不成立,输出电流将不随输入电流而变化,输出电压也不随输入信号而变化,产生输出波形的失真。这种失真是因工作点取的太高,输入正半周信号时,三极管进入饱和区而产生的失真,所以称为饱和失真。

(2)截止失真

产生原因:静态工作点过低

如图3-1-1所示为工作点太低的情况,由图可见,当工作点太低时,放大器能对输入的正半周信号实施正常的放大,而当输入信号为负半周时,因将小于三极管的开启电压,三极管将进入截止区,ib=0,ic=0,输出电压u0=uCE=Vcc将不随输入信号而变化,产生输出波形的失真。

(3)双向失真

产生原因:输入信号过大、电路放大倍数太大、直流偏置太小。

工作点偏高,输出波形易产生饱和失真;工作点偏低,输出波形易产生截止失真。但当输入信号过大时,管子将工作在非线性区,输出波形会产生双向失真。此时静态工作点合适,但输入波形的幅度超过了直流的最大幅度,当输出信号过大时可能会出现饱和失真与截止失真一块儿出现的失真现象,称之为双向失真。

消除方法:

顶部或底部失真:调节电位器,变化静态工作点; 双向失真:适当减小输入电压

b.交越失真

产生原因:

交越失真是乙类推挽放大器所特

有的失真。在推挽放大器中,由两只晶体管分别在输入信号的正、负半周导通,对正、负半周信号进行放大。而乙类放大器的特点是不给晶体管建立静态偏置,使其导通的时间恰好为信号的半个周期。但是,由于晶体管的输入特性曲线在Ube较小时是弯曲的,晶体管基本上不导通,即存在死区电压V r。当输入信号电压小于死区电压时,两只晶体管基本上都不导通。这样,当输入信号为正弦波时,输出信号将不再是正弦波,即产生了失真。这种失真是由于两只晶体管在交替工 克服交越失真:

作时“交接”不好而产生的,称为交越失真。

为了克服交越失真的影响,可以通过改进电路的方法来实现。 采用甲乙类双电源互补对称电路法和甲乙类单电源互补对称电路。 甲乙类互补对称法电路原理如下图1所示。由图1可见,T3组成前置放大级,T1和T2组成互补输出级。静态时,在D1,D2上产生的压降为T1,T2提供了一个适当的偏压,使之处于微导通状态。由于电路的对称,静态时 icl=ic2,iL=0,vo=0。有信号时,由于电路工作在甲乙类,即使Vi很小,基本上也可以进行线性放大。但是图1的缺点就是其偏置电压不易调整,改进电路如图2所示,在图2中流人T4的基极电流远小于流过R

1、R2的电流,则由图可以求出Vce=VBE∙(R1+R2)/R2,因此,利用T4管的VBE基本为一固定值,只要调整R

1、R2的比值,就可以改变T

1、T2的偏压值。

图1图2

c.非对称失真

输出

产生原因:

不对称失真也是推挽放大器所特有的失真。它是由于推挽管特性不对称,而使输入信号的正、负半周不对称。

消除办法:

加入负反馈,利用失真减小失真。

3.2具体电路设计及仿真

a.正常放大、截止失真、饱和失真及双向失真

(1) 仿真电路

VCCR3500kΩKey=A12VR215kΩC2+50 %XSC1_+AC1XFG110µFR115kΩQ110µFR5100kΩR41kΩ+Ext Trig2N2222A__B (2) 仿真波形

静态工作点居中时,输出正常波形;适当调节滑动变阻器使得阻值变大,出现顶部失真;适当调节滑动变阻器使得阻值变小,出现底部失真。 输入:

输出:

正常正弦波形 双向失真

顶部失真 底部失真

b.交越失真

(1) 仿真电路

VCC12VR110kΩ+Ext Trig+_A_+B_XSC1XFG1Q1S1键 = A D11N40012N2222D21N4001Q4R215kΩR310kΩ2N4403VEE-12V (2) 仿真波形 输入:

输出:

交越失真 改善后波形

c.非对称失真

(1) 仿真电路

(2) 仿真波形 输入:

输出:

不对称失真波形 改善后波形

四、电路制作及测试

4.1正常放大、截止失真、饱和失真及双向失真

顶部失真(截止失真) 双向失真

底部失真(饱和失真)正常放大 4.2交越失真

交越失真 消除交越失真

4.3非对称失真

非对称失真 减小非对称失真 实验得,非对称失真时,失真率为: (2.26-1.87)/4.13=9.44% 引入负反馈之后,失真率为: (240-238)/478=0.42% 故可见,引入反馈后,失真得到明显改善。

五、失真研究思考题

1、NPN型组成的共射放大电路和PNP型组成的共射放大电路在截止和饱和失真方面的不同。

答:NPN型:顶部失真属于截止失真,底部失真属于饱和失真。

PNP型:顶部失真属于饱和失真,底部失真属于截止失真。

2、共基放大电路、共集放大电路与共射放大电路在截止和饱和失真方面的不同。答:共射电路及共集电路都既有饱和失真又有截止失真:截止失真是因为三极管直流工作点过低产生的失真,而饱和失真为直流工作点过高产生的失真。

共基电路有饱和失真,无截止失真,因为共基电路的解法不用考虑三极管的截止电压,故不存在截止失真。

3、改变下图射极偏置电路电路哪些参数可解决上述失真。

答:解决饱和失真:通过调大Rb1或调小Rb2,使得Rb2分压减小,Ube减小,则发射极电流减小,直流工作点降低,饱和失真得到解决。

解决截止失真:通过调小Rb1或调大Rb2,使得Rb2分压增大,Ube增大,则发射极电流增大,直流工作点升高,截止失真得到解决。

解决双向失真:调整直流工作点使其位于中间位置或减小输入信号。

4、双电源供电的功率放大器改成单电源供电会出现哪种失真? 如何使单电源供电的功率放大器不失真?

答:单电源供电影响了输入输出电压范围,进而限制了电路的动态范围,导致信号失真。解决单电源供电失真的办法为给回路中串联一个储能电容。

5、造成单级放大电路失真的器件有哪些?Re的作用是什么?

答:造成单级放大电路失真的器件有基极电阻、直流偏置电压电源等;Re是电路的负反馈电阻,能够稳定放大电路的直流工作点。

6、负反馈可解决波形失真,解决的是哪类失真?

答:负反馈能在一定程度上抑制管子的非线性失真,但不对反馈环外的失真起作用。非线性失真包括交越失真、不对称失真等。

7、消除交越失真为什么要用二极管?

答:二极管静态时需要导通,所以产生两个0.7V的压降(硅管),而这两个压降刚好为T1与T2提供两个适当的偏置电压,使T1和T2处于微导通状态,这样就克服了因门限电压产生的交越失真。

8、放大电路加入负载后会出现失真吗?为什么?

答:会。因为负载电阻越大,放大倍数就越高,输出的信号幅度也就越大,越容易进入饱和或截止区,越容易失真。

9、如何测量放大电路的输入电阻、输出电阻和通频带。

答:测量输入电阻:分别测量出电路的输入端电压Ui和输入端的电流Ii,则输入电阻Ri=ui/Ii,这个输入电阻可能是动态的,不同的电压下可能不相同。

测量输出电阻:分别接入不同的输出负载R1和R2,分别测量出电路的输出端电压Uo

1、Uo2,则由于输出电流I1和I2分别等于I1=Uo1/R

1、I2=Uo2/R2,输出电动势E=I1×Ro+Uo1=I2×Ro+Uo2,所以得到方程:Uo1/R1×Ro+Uo1=Uo2/R2×Ro+Uo2。则解出输出电阻:Ro=(Uo2+Uo1)×(R1+R2)/(Uo1×R2-Uo2×R1)

测量通频带:

幅频特性及通频带的测试能使用仪器的条件下通常用扫频法:利用扫频仪直接在屏幕上显示出放大器的输出信号幅度随频率变化的曲线,即Au-f曲线。在屏幕显示的幅频特性曲线上测出通频带BW。

10、用场效应管组成的放大电路或运算放大器同样会产生所研究的失真吗? 答:不一定。

11、当温度升高,晶体管组成的电路刚刚产生静态工作点漂移,使电路产生某种失真,此时由场效应管组成的电路也同样失真吗?为什么?

答:场效应管不会形成波形失真,但放大倍数同样会因为温度的变化发生变化。三极管的温度漂移是由于温度上升时,静态工作点向上漂移,形成饱和失真。而场效应管不同,随着温度的上升,静态工作点不会上移反而会下移,饱和失真不可能形成。另一方面,温度的上升会导致场效应管的门限电压进一步下降,因此原电路的一定能保持场效应管处于打开状态,因此也不会产生截止失真。综上所述,虽然温度漂移会对场效应管放大电路的静态工作点和放大倍数造成影响,但场效应管本身的特性决定了温度的升高并不会引起失真。

12、归纳失真现象,并阐述解决失真的技术。答:失真现象归纳见3.1 解决失真的核心技术:调节直流工作点使其合适、利用二极管抬高电平、引入负反馈。

六、感想与体会

6.1小组分工

本人在该实验中负责基本部分和发挥部分的板子焊接制作,以及参与板子的测试。

6.2收获与体会

这门基于模拟电子技术的实践课虽然时间很短,但是收获颇丰,我觉得相比于理论知识的钻研,更重要的是锻炼了实践动手能力,提升了自己分析解决问题的能力。

将近七周的时间里,我们小组完成了关于非线性失真的电路设计及焊接,对于放大电路饱和、截止、双向、不对称等非线性失真的电路结构、产生原因及失真现象的改善有了相当的认识,同时对于晶体管的型号、引脚等参数特性也有了一定的认识。

这之外的收获是,真正通过不断地实验、不断地检查纠错,拥有了不断查找板子无法调试出波形甚至三极管冒烟烧坏的错误原因。一方面是初次接触,不懂得三极管的放置也是有规律的;另一方面,焊接过程中容易犯低级错误,比如最后一个发挥部分,焊好了电路之后检查了三遍,调试了两边出现的都是乱波,冷静下来仔细分析结果,猜想应该还是焊接出错了。果不其然,再次检查发现输入引脚根本没有接入电路。所以通过这样的教训,我们也意识到平时不应该只关注理论知识的学习,还需要培养锻炼我们的实践能力、动手操作能力。

6.3对课程的建议

建议发挥部分可以多给出几个参考题目。另外感觉这门课很有价值,可以适当增加教学深度。

七、参考文献

[1]路勇,刘颖.模拟集成电路基础[M].北京:中国铁道出版社, 2016 [2]刘贵栋,电子电路的 Multisim 仿真实践,哈尔滨工业大学出版社,2008

推荐第6篇:数电课程设计

数字电子课程设计

班级:电气002 学号:10110081 姓名:齐西潮

原理图方式设计二进制全加器

一:设计目的

1.通过设计一个二进制全加器和十进制全加器,掌握组合逻辑电路设计的方法。2.初步了解Quartus Ⅱ采用原理图方式进行设计的流程。

3.初步掌握FPGA开发的流程以及基本的设计方法、基本的仿真分析方法。二:设计原理

在数字系统中,经常需要进行算术运算,逻辑操作及数字大小的比较等操作,实现这些运算功能的电路是加法器。加法器是一种组合逻辑电路,主要功能是实现二进制数的算数加法运算。

在设计全加器之前先要考虑半加器,半加器完成两个一位二进制相加,而考虑由低位来的进位,半加器的表达式为:Sn=AnBn+AnBn= An⊕Bn

Cn= AnBn ;

全加器是带有进位的二进制加法器,全加器的逻辑表达式:Sn=AnBnCn-1+AnBnCn-1+AnBnCn-1+AnBnCn-1

Cn=AnBnCn-1+AnBnCn-1+AnBnCn-1+AnBnCn-1 三:设计内容

应用软件QuartusⅡ设计原理图并对所设计图进行仿真。 四:设计步骤

(一)二进制全加器的设计步骤 1.打开Quartus Ⅱ,选菜单File→New,在弹出的New对话框中选择Device Design Files页的原理图文件编输入项Block Diagram/Schematic File。 2.在原理图编辑窗口设计一个全加器

编好图后保存文件名取为b_adder.bdf.3.将设计项目设计成可调用的元件

为了构成全加器的顶成设计,必须将以上设计的半加器b_adder.bdf设置成可调用的元件,方法是选择菜单 File→Create/Update Symbol Files for Current File项,即可将当前文件b_adder.bdf变成一个元件符号存盘,以待在高层设计中调用。

4.设计全加器顶层文件。

为了建立全加器的顶层文件,必须打开一个原理图编辑窗口,方法同前,即再次选择菜单File→New,→Block Diagram/Schematic File。在弹出的图中Project下调出b_adder文件,同时按照图连接好全加器。以q_adder命名将此全加器设计存在同一路径F:\\addera文件夹中。 下面是以链接好的全加器电路

保存文件。 5.创建工程

选择File下拉菜单中的New Project Wizard,新建一个工程。点击图中的next进入工作目录。

6.对设计文件进行编译。在Proceing菜单下,点击Start Compilation命令,开始编译。编译结束后点击 确定 按钮。

7.仿真

在File下拉菜单中选择New,选取对话框中的Other File下的Veetor Waveform File,点击OK,打开一个空的波形编辑器窗口。加入输入输出端口,在波形编辑器窗口的左边端口名列表区双击,在弹出的菜单中选择Node Finder,出现Node finder 窗口后,在Filer列表中选择Pins:all,点击List,在Node Finder 窗口中出现的所有的信号名称中点》按钮,再点击OK。制定输入端口的

逻辑电平变化,最后保存该仿真波形文件,文件名与工程名相同。 再点击Edit→End Time ,在弹出的窗口中的 time 值改为100.0 单位是us,点击OK,完成设置。点击右上角的蓝色箭头开始仿真。波形如下

原理图方式设计频率计

一.设计原理

数字频率计的主要功能是测量周期信号的频率。频率是单位时间( 1S )内信号发生周期变化的次数。如果我们能在给定的 1S 时间内对信号波形计数,并将计数结果显示出来,就能读取被测信号的频率。数字频率计首先必须获得相对稳定与准确的时间,同时将被测信号转换成幅度与波形均能被数字电路识别的脉冲信号,然后通过计数器计算这一段时间间隔内的脉冲个数,将其换算后显示出来。这就是数字频率计的基本原理。 含有时钟使能的2位十进制计数器电路设计原理如图2-1所示,频率计的核心元件之一是含有时钟使能及进位扩展输出的十进制计数器。所以在这里拟使用一个双十进制计数74390和其它一些辅助元件来完成。图中74390连接成两个独立的十进制计数器,待测频率信号clk通过一个与门进入74390的第1个计数器的时钟输入端1CLKA,与门的另一端由计数使能信号enb控制:当enb=‘1’时允许计数;enb=‘0’是禁止计数。计数器1到4位输出q[3]、q[2]、q[1]和q[0]并成总线表达方式即q[3..],由图左下角的OUTPUT输出端向外输出计数值,同时由一个4输入与门和两个反相器构成进位信号进入第2个计数器的时钟输入端2CLKA。第2个计数器的4位计数输出是q[7]、q[6]、q[5]和q[4],总线输出信号是q[7..4]。这两个计数器的总的进位信号,即可用于扩展输出的进位信号由一个6输入与门和两个反相器产生,由cout输出。clr是计数器的清零信号。

二:设计一个2位十进制的计数器 1.绘制原理图。 2.进行全程编译,方法如二进制加法器的方法。 3.仿真

步骤和二进制加法器流程相同外还要注意,在输出总线设置上先选中q[3]、q[2]、q[1]、q[0],右键弹出选择Grouping→Group,name改为q3..0,按此方法设置好q[7]、q[6]、q[5]、q[4],在Grouping→Group,name中改名为q7..4。输入clk时钟信号,clr,enb,信号,然后点击仿真按钮开始仿真,其结果如下

十进制计数器的设计完成。 三:时序控制电路设计

仿真波形图:

四 : 频率计顶层电路设计

仿真波形:

五.实验心得

频率计是在已经能比较熟练使用Quartus II软件的基础上进行设计,仿真的。操作熟练程度确实较以前有了较大提高。掌握了在出错时如何通过error提示进行错误的修改以及使用一些快捷键快速的打开、建立新文件。本次设计中,收获颇多,它培养了我们独立思考、独立解决问题的能力,同时,对于同组之间的合作,也是十分重要的,只有共同努力,才能收获到最美的果实。 在设计中遇到过问题,也解决过问题,有过成功,也有过失败。我们应该记住成功,但我们更加不能忘记失败,只有一次次地总结经验和教训,我们才能不断进步。

总结

过这次数电课程设计实验学习了如何使用Quartus II软件,了解了其主要功能并且知道如何进行一些简单的仿真,使以往抽象的知识形象化,增强了对所学知识的理解和巩固,会对我以后的学习起到极大的帮助作用。

推荐第7篇:数电课程设计

数电课程设计

一、课程性质

数字电路与逻辑设计课程实训是同学们进入电子设计领域的入门课程之一,认真仔细完成本次课程的设计内容可为今后复杂电路的设计和制作打下良好的基础。

二、设计要求

1、根据附录所示的相关内容,自选其一,进行制作;

2、使用热转印法进行制作,在制作PCB时将学号印刷在bottom层;

3、设计作品不局限于附录所示内容,如果自己有设计项目,可以自行购买器件并完成设计和制作;

4、需使用仿真软件进行功能仿真后,再进行PCB的设计和制作;

5、课程结束后,须提交设计报告1份、电路仿真文件1份、PCB设计文件1份和最后设计成品板1份。

三、器件说明

1、核心器件为NE555芯片,学院提供1块,如有损坏,自行购买;

2、学院可提供人均1份的热转印纸和单面覆铜板,超出部分,自行购买;

3、其他小型器件如电阻、电容等,自行解决。

附录:555电路运用大全

利用555时基集成电路的基础电路可以设计、开发出许多电子小实验与科技制作。下面介绍几种,供大家参考。

1.触摸延时“小灯”

图5-43是它的电路,它将触摸开关发光二极管的实验中加入延时电路,调整可调电阻阻值和电容量达到延时效果。要想增加延时的时间,就调换大容量的电容,如400μF、1000μF等。如果作为夜间床头定时灯、楼道定时灯等,可拆去发光二极管和电阻,换一个6伏的小灯即可。

图5-43

2.触摸延时音乐门铃

图5-44是它的电路图,与图5-45比较,将触摸延时“小灯”电路中拆去发光二极管,改为连接音乐片电路即可。它可以当作门铃使用,也可安置在人手触摸处作为瞬间报警器。

图5-44

3.手控行车红绿灯指示器模型

图5-45是它的电路图,先做一个红绿灯灯架,将红绿发光二极管固定在灯架上,按图连接后,只要向下按动按键,则红灯变为绿灯,手一离开便又成为红灯。

图5-45

4.可自动控制的行车红绿灯指示器模型 图5-46是它的电路图,只将上图的手控改为磁控,再加上延时电路,就可以将上述模型改为路灯自动控制。先制作一个街道模型和指示灯架,将干簧管设在指示灯前方的道路模型的下方。在一辆模型汽车的底部粘一块磁铁。当汽车行过干簧管上方时,电路导通,红灯变为绿灯,汽车继续向前行驶,由于延时电路作用,使绿灯亮一段时间,保证汽车驶过路口。需要注意的是根据汽车模型的速度,调整干簧管的位置和电路延时的时间。

图5-46

5.灯塔模型

先用硬纸做一个灯塔模型。图5-47是它的电路图,它只取闪光电路的一部分——一个绿发光二极管作为塔灯。最后调整好闪烁时间。

图5-47

6.夜间打灯光靶

图5-48是它的电路图,它与闪光电路相比,集成电路的脚①是单独与负极连接,而电容与R5却是经过干簧管与负极连接。先按图14做一个一碰便可以翻倒的靶牌。在靶子的底部固定一块磁铁,将电路中的干簧管固定在与磁铁相对应的支架底板上。绿色发光二极管放置在靶心位置上,红色发光二极管诱因在支架的底部。游艺时,将靶牌放在暗处,干簧管在磁场作用下导通,两个发光二极管相互闪光,绿色发光二极管指示靶心。当靶子被击倒后,虽然干簧管失去了磁场的作用电路断开,但这时电路并未全部不通,红色发光二极管不会常亮,表示击中靶子。如果把靶牌放到运动的车模上,打靶更加紧张有趣。

图5-48

7.发报练习器

图5-49是它的电路图,它是在音响电路中接入按键代替电键使用,做成一个发报练习器的,音调高低可自己选定。也可以自己做一个电键。

图5-49

国际莫尔斯码字符如下:

如果将自己一方的电键的两根导线接在另一个同学电路中,同时把对方同学的电键两根导线接在自己电路中,那么这俩人之间就可以互相发报传送信息了。

8.一种平时不耗电的磁控报警器

图5-50是它的电路图,它是在音响电路中接入干簧管,再将干簧管放入两块相吸引的磁铁之间,这时,干簧管并不闭合,电路不导通。当移动一块磁铁后干簧管立即闭合,电路导通报警。制作时先把干簧管安放在门窗的木框上,同时把一块磁铁固定在干簧管的上方,把另一块磁铁安放在门窗对着干簧管处的下方,注意一定要使这两块磁铁相吸,这时干簧管不导通,喇叭不发出音响。一旦门窗打开,干簧管被上方磁铁吸引闭合,电路导通,发出音响报警。

9.断线报警器

在电路图5-51中的A、B两点是用一根细的导线连接(图中的弧线),当人或动物碰断导线时便会发声报警,发光二极管发光。

10.雨水报警器

图5-52是它的电路图,它是在音响电路中从两个电阻之间引出一个探头改为雨水报警器的。用覆铜板照图5-53做一个探头,接到音响电路中,当雨水滴在探头上,使电路导通,便会发出音响。这个报警器还可以作为各种遇水报警装置。

11.高低水位报警器

水能导电,也就有电阻存在。图5-54就是利用电阻值的不同,发出不同音调制作成高低水位报警器,它与雨水报警器中的探头不同。用导线按照图5-55做成水位探头,接到电路中去。当水位低时,A与B导通,因有可调电阻,阻值较大发出低音;当水位升高A与C也导通,这时A与C电阻阻值因为没有可调电阻远远小于A与B的电阻值,因此电流通过A与C,报警器便发出高音。

按这个思路还可以做成高低音门铃、多路报警器等。

12.手控模仿鸟鸣实验

图5-56是它的电路图,它与音响器不同的是没有电容,并将电容处断开。先将音响器调响后,拆去电容,用两个手指捏住导线的两个端头,这时喇叭发出高的音调。随着手指捏住松紧程度不同,喇叭发出时高时低、时响时断、如同鸟叫一般的声音。调整可调电阻阻值,会发出不同音调,模仿鸟鸣和其他音响。

图5-56

13.节拍器

图5-57是它的电路图,它是将闪光电路中接上喇叭,做成既有灯光又有音响的节拍器,只是声音较低。调整电阻阻值和电解电容可以得到不同的节拍。

如果去掉发光二极管,将节拍器调整到好似下雨的嘀嘀声,还可以作为催眠器,响一夜用电量很少。

图5-57

14.见光发亮的光控“灯”

这个光控“灯”是见光发亮。图5-58是它的电路图,与前面介绍的光控“灯”控制相反,因此,只要把原电路中光敏电阻和可调电阻调一下位置就可以了。在实验中不要忘记可调电阻的调整。

如果在电路中拆去发光二极管和电阻,接6伏继电器,再由继电器控制灯就可达到实用装置的目的。

图5-58

15.见光响音乐

早上阳光照进屋内,它就播放出音乐。图5-59是它的电路图,它是在见光就亮的光控“灯”中,去掉发光二极管,改接音乐片和扬声器而成。制作时可以根据自己所希望的亮度,慢慢调整可调电阻值。 该装置还可以以市场销售的小型激光指示器为光源枪,将光敏电阻安放在靶心处,找一个不透光的圆筒套在光敏电阻上,遮挡外部光线对它的干扰,调整可调电阻值,做成光电打靶器。

图5-59

16.黑暗光控报警器

图5-60是它的电路图,它是在黑暗控制“灯”亮电路中接上音乐片电路,制作时根据所需的暗度下调整可调电阻值到发出音乐响声。

该装置还可以与小型激光指示器或其他光线配合,做成报警器,如在圈养的动物外围,将小型激光指示器远距离照射光敏电阻,当有动物外逃时,挡住激光束,便会报警。或者将脚③与计算器中的连加相接,用来对传送带上的物品个数进行计算,或者用于通道显示有人、动物通过等。

图5-60

17.书写光亮测试器

图5-61是它的电路图,它是在黑暗控制“灯”亮的电路中再加上一个发光二极管,就可以改装为光线亮度测试器。反复调整可调电阻值,使它在符合书写光照条件下,绿色发光二极管发光,而光照一暗时红色发光二极管发光,以提醒人们注意。

图5-61

18.干湿测量器 图5-62是它的电路图,用钢丝照图做两个探头连在电路中,使用时,将它的两个探头插入花盆或其他物体中,反复调整可调电阻值,使它在湿润时绿色发光二极管亮,干燥时红色发光二极管亮,以示区别。

图5-62

19.延时开的小“灯”

图5-63是它的电路图,它是将延时关电路中的电阻和电容交换位置,便可成为延时开的电路。按下按键,发光二极管由亮转灭,当手指离开按键后,会发现过一会儿发光二极管才亮。

图5-63

20.水沸报警器

先将热敏电阻放入一个直径为8毫米左右、长100毫米的铜管或不锈钢管内,引出导线,用树脂封好,不能进水。图5-64是它的电路图。实验时插入开水中,要慢慢调整可调电阻的阻值,使它到100℃时音响报警,低于这个温度时没有音响报警。

图5-64

像以上介绍的利用基础电路扩展的电子制作还可以举出许多例子,只要大家多动脑筋,发挥创造性,就可以充分利用这套器件中磁控、光控和温控的作用,再制作出各种适应它们的外围作品。

推荐第8篇:数电课程设计

数字电子技术课程设计题选

(注:标注“易”的题目得分75以下,标注“中”的题目得分85以下,标注“中→难”的题目完成基本要求为“中”,完成提高要求为“难”。使用中小规模器件进行设计。)

1、电子万年历(中)

以“日”作为基准时间信号,设计可计年、月、日的电子万年历。 (1)计时结果用8只LED七段数码显示器稳定显示

(2)计年的规则是从0000至9999,计月的规则是从1至12,计日的规则是从1至30,用数码显示器的小数点区别显示的时基单位 (3)具有手动校年、月、日功能。

2、彩灯控制器(中→难) 基本要求:

(1)设计一个十盏彩灯的控制电路,要求彩灯具有单向流水效果

(2)彩灯的流向可以变化。可以正向流水,也可以逆向流水。彩灯流动的方向为手动控制 提高要求:

(3)彩灯流动的方向也可以自动控制,自动控制往返变换时间为5s (4)彩灯可以间歇流动,10s间歇一次,间歇时间1s。

3、电子密码锁(易)

(1)用电子器件设计制作一个密码锁,使之在输入正确的代码时开锁。 (2)在锁的控制电路中设一个可以修改的4位代码,当输入的代码和控制电路的代码一致是锁打开。

(3)用红灯亮、绿灯灭表示关锁,绿灯亮、红灯灭表示开锁

(4)如5s内未将锁打开,则电路自动复位进入自锁状态,并发报警信号。

4、数字式跑表(中→难)

设计一个以0.01s为基准计时信号的实用数字式跑表。

基本要求; (1)跑表计时显示范围0~99min59.99s (2)具有清零、启动计时、暂停计时及继续计时功能,操作按键(或开关)不超过2个

(3)时钟源误差不超过0.01s 提高要求

(4)显示最大值可达23h59min59.99s,有整点、半点提醒功能 (5)有定时功能 (6)有倒计时功能

5、四路抢答器(难)

设计一个四组参赛的智力竞赛抢答器 基本要求:

(1)当某台参赛者按下抢答开关时,由数码管显示该台编号并伴有声响。此时,抢答器不再接收其他输入信号。

(2)电路具有定时功能。要求回答问题的时间≤30秒(显示为29~00),时间显示采用倒计时方式。当达到限定时间时,发出声响提示。

(3)具有计分功能。每组参赛者起始分为100分,抢答后由主持人计分,答对1次加10分,否则减去10分

(4)在复位状态下台号数码管不作任何显示(灭灯)。 提高要求:

(5)答题时间还剩5s时,每秒发出提示声音。

6、汽车尾灯控制电路(中)

(1)用6只小灯泡模拟6只汽车尾灯,左侧3只,右侧3只。用4个开关分别模拟脚踏制动器,停车信号,左转弯控制和右转弯控制。

(2)当汽车正常直行时,6个尾灯全灭,当临时刹车(脚踏制动器)时,6个尾灯闪烁。

(3)当汽车左转时,左侧的尾灯按照000-001-010-100-000的顺序循环点亮,每灯点亮0.5s,而右侧的3个灯全灭。当右转时相同。

7、脉冲按键电话按键显示器(中→难) 基本要求:

(1)设计一个具有八位显示的电话按键显示器; (2)能准确反映按键数字; 提高要求:

(3)显示器显示从低位向高位前移,逐位显示,最低位为当前输入位; (4)重按键时,能首先清除显示;

(5)摘下话机后才能拨号有效,挂机后熄灭显示。

8、电子脉搏计设计(难)

(1)实现在15S内测量1min的脉搏数; (2)用数码管将测得的脉搏数用数字的形式显示; (3)测量误差小于±4次/min。

9、射击自动报靶器(中)

(1)用11个开关信号模拟环数取样信号,分别表示(0、

1、

2、

3、

4、

5、

6、

7、

8、

9、10)环,其中0表示没射中,每次射击完毕后立刻显示环数

(2)每个人可以射击5次,5次后射击次数自动清零,表示此人不能再射击 (3)自动统计累计环数并显示 (4)自动统计中靶次数并显示。

10、电子拔河游戏机(易)

(1)设计一个模拟拔河游戏比赛的逻辑电路。

(2)电路使用15个发光二极管,开机后只有在拔河绳子中间的发光二极管亮。 (3)比赛双方各持一个按钮,快速不断地按动按钮,产生脉冲,谁按得快,发光的二极管就向谁的方向移动,每按一次,发光二极管移动一位。

(4)亮的发光二极管移到任一方的终点时,该方就获胜,此后双方的按钮都应无作用,状态保持,只有当栽判按动复位后,在拔河绳子中间的发光二极管重新亮。

(5)用七段数码管显示双方的获胜盘数。

11、篮球比赛电子记分牌(中)

设计一个符合篮球比赛规则的记分系统。 (1)有得1分、2分和3分的情况,电路要具有加、减分及显示的功能。 (2)有倒计时时钟显示,在“暂停时间到”和“比赛时间到”时,发出声光提示。

(3)有比赛规则规定的其他计时、记分要求。

12、乒乓球比赛游戏机(中→难)

设计一个甲、乙双方参赛,裁判参与的乒乓球比赛游戏模拟机。 基本要求:

(1)用8个发光二极管排成一条直线,以中点为界,两边各代表参赛双方的位置,其中点亮的发光二极管代表“乒乓球”的当前位置,点亮的发光二极管依次由左向右或由右向左移动。

(2)当球运动到某方的最后一位时,参赛者应立即按下自己一方的按钮,即表示击球,若击中,则“球”向相反方向运动,若未击中,则对方得1分。 (3)设置自动计分电路,双方各用二位数码管来显示计分,每局11分。到达11分时产生报警信号。 提高要求:

(4)一方得分时,电路自动响铃3s,这期间发球无效,等铃声停止后方能继续比赛。

(5)设置局数显示,5局结束后有声响提示比赛结束。

13、出租车自动计费器 (中)

(1)设计制作一个自动计费器,具有行车里程计费,等候时间计费及起价等三部分。三项计费总和为客户用车的总费用,通过数码自动显示。用4位数码管显示总的金额,最大值为99.99元。

(2)行车里程单价(0.××元/公里)、等候时间(0.××元/10分钟)、起价(×.00元)均能通过BCD码拨盘输入。

(3)在车辆启动和停止时发出音响信号,以提请顾客注意。

参考资料

[1]彭介华.电子技术课程设计指导[M].北京:高等教育出版社 [2]孙梅生,李美莺,徐振英.电子技术基础课程设计[M].北京:高等教育出版社

[3]梁宗善.电子技术基础课程设计[M].武汉:华中理工大学出版社 [4]张玉璞,李庆常.电子技术课程设计[M].北京:北京理工大学出版社 [5]谢自美.电子线路设计·实验·测试(第二版)[M].武汉:华中科技大学出版社

课程设计说明书与图纸要求

设计说明书内容要求:

⑴.写出你考虑该问题的基本设计思路,画出一个实现电路功能的大致框图。

⑵.画出框图中的各部分电路,对各部分电路的工作原理应作出说明。

⑶.画出整个设计电路的原理电路图,并简要地说明电路的工作原理。

⑷.图用计算机绘制,设计报告文字通顺,清晰,原理要表达清楚。

⑸.用仿真软件对电路图进行仿真(仿真软件可用EWB、Multisim10.0或PROTEL Se),说明仿真过程中如何对电路进行调整,对仿真结果加以描述(仿真中没有的元件用近似元件代替)。

⑹.具体格式见本节第二部分。

⑺.评分依据:①设计思路,②单元电路正确与否,③整体电路是否完整,④电路原

理说明是否基本正确,⑤报告是否清晰,格式是否规范⑥有无仿真及对仿真过程、仿真结果的必要描述,⑦答辩过程中回答问题是否基本正确。 ⑻.答疑时间:周四下午3:00~4:30。地点: 主楼二楼电工实验室 ⑼.(第四周周五)下午5点前交报告。

评分标准

课程设计满分为100分,由三项构成:1.设计说明书老师给分占450%;2.答辩情况老师给分占45%;3.同学互评占10%。如有抄袭者该次设计为0分。

答辩安排:每个同学现场给老师演示仿真程序,而后老师提问。

设计说明书格式

设计说明书不少于3500字,用A4纸打印装订成册。具体格式如下:

附件:设计说明书格式

设计说明书用A4纸打印装订成册。

推荐第9篇:数电总结

时间过的真快,转眼十三周的数电课已经结束,但是对于我们每个人来说各自的收获是不同的。对于我来说数电依然是那样的熟悉又陌生,也许是平时学习不够认真基本知识学的不够扎实,再也许是平时对数电看的较少、花费的精力不够,所以才会有这种云里雾里的感觉吧!考试很快就要到啦,所以我们要抓起书本好好地复习,不仅仅是为了考试更是为了巩固所学习的知识,为以后的专业课学习打下坚实的基„„同时通过本学期的学习我对数电也有了一定的了解。

首先,《数字电路》课程是电子信息与电气工程系的专业基础课程及相关专业必修的一门专业技术基础课,是电学类学生知识结构的重要组成部分,它主要研究各种半导体的性能、电路及其应用的科学。在人才培养中起着十分重要的作用。《数字电路》课程又是一门实践性极强的课程,其先修课程《电路分析基础》和《模拟电路》,为数字电路的学习提供了引导性的背景知识;同时数字电路的学习也为后续课程后续课程:《微机原理》、《EDA技术》、《单片机》等的学习打下基础,并以此为平台展开学习。

该课程不但要求我们熟练地掌握理论知识,同时对动手能力、实践能力和系统设计能力有较高的要求,为后续的课程的学习打下基础,同时为以后走上工作岗位打下基础。我们想要学好本门课程,仅仅做到:“课堂紧跟教师、积极思考、学会逻辑思维、学会归纳和总结的方法,能够做到举一反三,并且课后多做练习,巩固做学的知识,达到熟练掌握一般数字电路的分析方法和设计方法;熟悉各类常用的数字集成电路的特性和原理,掌握其典型应用。”是不够的,我们还要在课外时间花费大量时间去图书馆、互联网、阅览室等查询我们用到的资料,同时也要多多和老师沟通互动以便更好的掌握、利用数字电子器件实现要求的电路功能,并熟悉新型数字电子器件、产品的工程应用。并且为以后的专业课程的学习打下良好的基础。验证性的实验课程同时与数电的学习相辅相成,所以我有必要上好每次实验课,认真记录数据,分析试验过程中遇到的现象,学会分析问题、解决问题的方法。这样对于我们数电的课学习将大有裨益。

在学习的过程中遇到问题是在所难免的,最初的时候听到数电是从高年级的学长那里听来的,他们口中的数电是简单的,到我们真正自己接触到的时候发现:“开始的时候数电的确不难因为我们学过C语言,开始的数制是我们学习过的课程,可是到了后来的说触发器、编码器、译码器、计数器等知识。特别是关于逻辑电路的分析与设计的学习,才发现数电原来并不容易,学习开始有点吃力,与自己设想的、听到的不一样。”感到吃力的时候是我认识到仅仅书本课堂是解决不了问题的,课后下功夫才是王道,所以去图书馆、浏览网页成了必修课,从那以后我就更加深刻的认识到自己学习的重要性,大学里并不是所有的知识都是

老师教授的,大部分的知识是靠自己努力学来的!

在为期十三周的数电学习过程中,胡老师的认真的教学态度、严谨的治学风格无时无刻不在影响着我们,在我们遇到问题时胡老师的悉心指导的声音萦绕耳旁,在我们不懂的时候胡老师更是不厌其烦的一遍遍讲解,点点滴滴都在感动着我们!

最后祝愿胡老师:工作顺利、万事如意、身体健康!

学生:

08电子(3)班

推荐第10篇:数电课程设计

电子技术课程设计

题目名称 多功能数字钟设计 班 级 自动化1204 学 号 201209157043 学生姓名 田野 指导教师 刘 琼 设计时间 2014-6-9 ~ 2014-6-13

设计任务与要求:

Ⅰ 以十进制数字形式显示时、分、秒的时间。

Ⅱ 小时计数器的计时要求为“24翻1”,分钟和秒的时间要求为60进位。

Ⅲ 能实现手动快速校时、校分;

Ⅳ 具有整点报时功能,报时声响为四低一高,最后一响为整点。

Ⅴ 具有定制控制(定小时)的闹钟功能。

Ⅵ 画出完整的电路原理图

设计内容简介:数字钟是一个简单的时序组合逻辑电路,数字钟的电路系统主要包括时间显示,脉冲产生,报时,闹钟四部分。脉冲产生部分包括振荡器、分频器;时间显示部分包括计数器、译码器、显示器;报时和闹钟部分主要由门电路构成,用来驱动蜂鸣器。

整体构思或方案选择:

该系统的工作原理是:振荡器产生的稳定高频脉冲信号,作为数字钟的时间基准,再经过分频器输出标准秒脉冲。秒计数器计满60后向分计数器进位,分计数器计满60后向小时计数器,小时计数器采用12进制计数,计数器的输出经译码器送显示器。计时出现误差时可以用标准时电路进行校时、校分、校秒。

方案设计:定时器555与RC组成的多谐振荡器作为时间标准信号源。

分频器的功能主要有两个:一是产生标准秒脉冲信号;二是提供功能扩展电路所需要的信号,如仿电台报时用的1KHz的高音频信号和500KHz的低音频信号等。因此,可以选用3片我们较熟悉的中规模集成电路计数器74LS90可以完成上述功能。因每片为1/10分频,3片级联则可获得所需要的频率信号,即每1片Q0端输出频率为500Hz,每2片Q3输出为10Hz,每3片的Q3端输出1Hz。 时间计数器电路:一般采用10进制计数器来实现时间计数单元的计数功能。为减少器件使用数量,可选74LS90,其内部逻辑框图如图6所示。该器件为双2-5-10异步计数器,并且每一计数器均提供一个异步清零端(高电平有效)。

图 5 74LS90内部逻辑框图

秒个位计数单元为10进制计数器,无需进制转换,只需将Q0与CPW(下降沿有效)相连即可。CPR(下降沿有效)与1Hz秒输入信号相连,Q3可作为向上的进位信号与十位计数单元的CPR相连。 秒十位计数单元为6进制计数器,需要进制转换,可以利用74LS90的有两个清零端的特点,在不用门电路的情况下实现10进制转6进制,具体电路见下面设计图。分个位和分十位计数单元电路结构分别与秒个位和秒十位计数单元完全相同。

译码驱动及显示单元电路:译码电路的功能是将“秒”、“分”、“时”计数器的输出代码进行翻译,变成相应的数字。用于驱动LED七段数码管的译码器常用的有74LS48。74LS48是BCD-7段译码器/驱动器,其输出是OC门输出且低电平有效,专用于驱动LED七段共阴极显示数码管。如图9所示。若将“秒”、“分”、“时”计数器的每位输出分别接到相应七段译码器的输入端,便可进行不同数字的显示。

校时电路:校正时间的方法是:首先截断正常的计数通路,然后再进行人工出触发计数或将频率较高的方波信号加到需要校正的计数单元的输入端,校正好后,再转入正常计时状态即可。根据要求,数字钟应具有分校正和时校正功能,因此,应截断分个位和时个位的直接计数通路,并采用正常计时信号与校正信号可以随时切换的电路接入其中。图4所示为所设计的校时电路。

图 6 方案一校正电路图

定时控制电路:数字钟在指定的时刻发出信号,或驱动音响电路“闹时”;或对某装置的电源进行接通或断开“控制”。在本次设计中设计成闹时,指定时刻为05时59分00钞音响电路以1KHZ的频率的闹一分钟到6时00分00秒结束。(可以通过必一个二输入为四输入引入上下午的指示信号来进一步确定闹钟的响铃时间)

图10 定时控制电路 时间脉冲电路的设计

图 13 产生1Hz时间脉冲的电路图

555构成振荡电路和74LS90构成分频电路。如图11,555输出1KHz的脉冲,三片74LS90级联分频即可得500Hz和1Hz信号。

60进制计数器的设计:“秒”计数器电路与“分”计数器电路都是60进制,它由一级10进制计数器和一级6进制计数器连接构成。如图12.所示由74LS90构成的60进制计数器。首先将两片74LS90设置成十进制加法计数器,将两片计数器并行进位则最大可实现100进制的计数器。现要设计一个60进制的计数器,可利用“反馈清零”的方法实现。因为74LS90有两个异步清零端R0(1)他R0(2),分别用十位端人Q2和Q0与他们相连,当计数器输出“2Q32Q22Q12Q0、1Q3Q2Q1Q0=0

110、0000”时,通过门电路形成一置数脉冲,使计数器归零,这样可以不用门电路实现60进制计数器。

图14 60进制电路图

译码及驱动显示电路

译码电路的功能是将“秒”、“分”、“时”计数器的输出代码进行翻译,变成相应的数字。用于驱动LED七段数码管的译码器常用的有74LS48。74LS48是BCD-7段译码器/驱动器,其输出是OC门输出且高电平有效,专用于驱动LED七段共阴极显示数码管。由74LS48和LED七段共阳数码管组成的一位数码显示电路如图 14 所示。若将“秒”、“分”、“时”计数器的每位输出分别接到相应七段译码器的输入端,便可进行不同数字的显示。

图 16译码及驱动显示电路图

校时电路的设计:数字种启动后,每当数字钟显示与实际时间不符进,需要根据标准时间进行校时。校“秒”时,采用等待校时。校“分”、“时”的原理比较简单,采用加速校时。

对校时电路的要求是 : 1.在小时校正时不影响分和秒的正常计数 。 2.在分校正时不影响秒和小时的正常计数 。

如图15所示,当开关断开时,校正信号和0相与非的输出为1,正常输入信号可以顺利通过与非门,故校时电路处于正常计时状态;当开关闭合时,情况正好与上述相反,这时校时电路处于校时状态。与非门可选74LS00。因此实际使用时,须对开关的状态进行消除抖动处理,图17为加2个0.01uF的电容。

图 17 校时电路图

自动报整点电路

当分十位计数器的进位脉冲的上降沿到来时,小时计数器加1,新的小时数置入74LS192,同时又使74LS74的状态翻转,1Q经两非门后使PL=1,此时74LS192开始减法计数,脉冲CP(1Hz)提供。CP=1时音响发出1KHz的声音,CP=0时停响。当减法计数到0时,计数器的借位输出下降沿使D触发器状态翻转,使1Q=0,这时PL=0,74LS192又回到置数状态,并且封锁了音响电路,直到下一个分十位进位信号的下降沿到来。

触摸报整点时数电路

用555构成单稳态触发器,没触摸报时开关闭合后(再断开),进入暂态,暂态时间为略大于12S,在该时间内会封锁分十位进位信号的下降沿,直到回到稳态后。在单稳态触发的进入暂态时,输出端输出上升沿,使D触发器状态翻转,1Q=1,74LS192进入减法计数,并伴随音响发音。直到减法计数到0时,计数器的借位输出下降沿,经门电路后使D触发器状态翻转,1Q=0,这时PL=0,74LS192又回到置数状态,并且封锁了音响电路,直到下一次的触发。

图20 自动(触摸)报整点时数时数电路

电路总图

图 1 电路总图 设计收获、体会和建议:

课程设计是一个课本与实践相结合的机会,从初期的懵懂不解到逐步摸索前进最终得出结果的过程中我也体会到了很多:

1.设计初期要考虑周到,否则后期改进很困难。应该在初期就多思考几个方案,选择最合适的方案动手设计。总体设计在整个设计过程中非常重要,应该花较多的时间在上面。

2.在设计某些模块的时候如果无法把握住整体,这时可以先进行小部分功能的实现,在此基础上进行改进,虽然可能会多花一些时间,但这比空想要有效的多。

3.尽可能是电路连线有序,模块之间关系清楚,既利于自己修改,也利于与别人交流。

4.很多难点的突破都来自于与同学的交流,交流使自己获得更多信息,开拓了思路,因此要重视与别人的交流。

5.应该有较好的理论基础,整个实验都是在理论的指导下完成了,设计过程中使用了许多理论课上学的内容,如真值表、卡拉图等。本次设计把理论应用到了实践中,同时通过设计,也加深了自己对理论知识的理解和掌握。

参考文献

1、谢自美.电子线路设计·实验·测试.华中科技大学出版社.2006.1

2、姚福安.电子电路设计与实践.山东科技出版社.2001.10

3、马建国.电子系统设计.高等教育出版社.2004.1 4 《数字电子技术基础》伍时和 主编 清华大学出版社 。

5 《电子线路设计·实验·测试》第三版,谢自美 主编,华中科技大学出版社。

6 《电子线路综合设计实验教程》 刘鸣 主编 天津大学出版。

7 《新型集成电路的应用――电子技术基础课程设计》,梁宗善主编,华中科技大学出版社。

8 《电子技术基础课程设计》,孙梅生等编著,高等教育出版社。

第11篇:数电课程设计

题目:光控计数器

学院:核工程技术学院

专业:核技术

学号:09026103

姓名:史镇玮

作者(签名):

完成日期:2011年6月日 【课题名称】 光控计数器 【内容摘要】

利用光线的通断来统计数目的光控计数器。其主要系统组成为:光电转换模块、整形模块、时序控制模块、计数译码模块和显示模块,通过对光电的转换,由时序逻辑电路控制,达到自动计数的功能。 【设计内容及要求】

本设计主要是利用光线的通断来统计计数。要求设计两路光控电路,根据光控电路产生触发脉冲的先后顺序,来判断计数器进行加或减计数,要求计数器的最大计数容量为99,用数码管显示数字,并设置手动复位。 【设计原理】 1工作原理

首先由光控电路将接收的光信号转换为电信号,经由555定时器组成的施密特触发器整形和555定时器组成的单稳态触发器触发脉冲,输出计数脉冲信号。再通过计数器和译码器,在数码显示管上显示数目的增加或减少,实现自动计数的功能。 2.整个系统组成

整个系统由五个部分组成:光控电路、触发脉冲、加减计数、显示译码和数码显示,其工作原理框图如下:

3.电路图(见附录) 【单元电路设计及原理】 1.光电转换电路

光电转换电路用于将光信号转换为系统所需的电信号。由于需要进行数目的加和减的运算,此部分需要两个相同的光控电路。每个电路的组成为:一个施密特触发器和单稳态触发器。

当光敏电阻处于光照时,电阻阻值较低,单稳态输出低电平。当遮住第一光敏电阻光时,电阻升高,单稳态触发器输出高,进入暂稳态,维持1.1s 施密特触发器:

一个555定时器芯片、R

1、RG(光敏电阻),当RG有光照时,电阻下降。随之,TH端电压下降,输出端3口输出高电平。反之,RG弱光时,电阻升高,TH端电压升高,3输出低电平。 单稳态触发器:

用555芯片构成单稳触发器,每次触发产生一个1s左右的方波脉冲。当从施密特触发器3输出,单稳态触发器2(TR)输入高电平,3端立刻输出低电平。随之,当2输入低电平,3随即输出高电平。然而,当2马上输入高电平时,3输出将维持一段时间,进入暂稳态。

维持时间:Tw=RC ln3 = 1.1s

2、时序控制电路

时序控制电路在本课题中主要用于判断计数的增加或是减少,在此选用了一个双D触发器74LS7

4、74LS00芯片来实现。74LS74双D触发器,用于和其它芯片一起构成时序逻辑电路。由于74LS74是上升边沿触发的边沿D触发器,电路结构是维特—阻塞型的,所以又称维特—阻塞触发器。它要求控制端D的信号应超前CP脉冲上升边沿2Tpd1时间建立,并要求在CP脉冲触发边沿到来后继续维持1Tpd1时间(此处的Tpd1是TTL门的平均传输延迟时间)

当上端3输出由低到高的电平,高电平维持1.1s,在其维持的时间段中,给下端3输入一由低到高的电平,给D触发器CP一上升沿。这是,D触发器工作 Q′=D′=0,同时两与非门的输出由低到高变化,给了下一模块一上升沿。

3、计数和译码和显示部分

计数部分由两片74LS191异步级联而成。译码部分是由两片74LS47来完成,显示部分是由两个共阴极LED数码显示管。用于接收计数脉冲信号并将其转化成单独的信号输出并显示。 74LS191 74LS191是集成4位同步二进制加减计数器,可执行十六进制加减法计数及异步置数功能。可通过外电路连接构成其他进制的计数器,本课题连接成十进制计数器。

当14(CP)来上升沿时,191(1)开始计数,分为两种情况,U′/D输入为0,则进行加法计数,U′/D输入为1时,进行减法计数。

同时,2和7接与非门,输出端接置数端LD′和191(2)CP,191(1)的2和7输出1时,(2)CP为0,下一状态为1,上升沿到,进位计数。 74LS47和数码管 两个译码器和两个数码管来完成,用于接收计数脉冲信号并将其转化成单独的信号输出并显示。当47译码器3端接地,数码管全部显示。 【参数计数及器件选择】

1.测量光敏电阻在无光和有光时电阻。第一个,有光,Rg=5.34KΩ,无光时,Rg=29.8KΩ。第二个,有光,Rg=6.1KΩ,无光时,Rg=34.5KΩ。根据1/3Rg(无光)≤R1≤2/3Rg(有光),选择R1=R3=10KΩ

2.对数码管检测。将数码显示管的的3端接地,用高电平依次接到除8以外的其他端,发现无任何显示。说明使用的是共阳极数码显示管,应在3脚或8脚加电源(中间接限流电阻)。经再次测试,数码管显示正常。 【组装与调试】

整个电路分为三个模块进行组装调试,分析。

1、施密特触发器与单稳态触发器的调试

按图接好电路,R1选择10KΩ,接通电源,将3端接到发光二极管。这时,二极管正常发光。当对光敏电阻进行遮光处理,二极管为暗。第二个触发器的调试,同上述操作,R3为10KΩ。

当施密特触发器正常工作时,在检测单稳态触发器。此时二极管接到3端,二极管为暗。当对光敏电阻进行遮光处理,二极管正常发光,照光后,持续1.1s。表示单稳态触发器调试良好。第二块单稳态触发器,同上述操作。

2、双D触发器与与非门测试

D触发器的

1、4端输入高电平信号,检测Q`=D`。与非门按有“0必出1,11为零”的原则检测。 检测完成后,将其接入电路。

3、计数和译码和显示部分

按图连好电路,将第一片191芯片14端输入单脉冲,观察数码管显示结果,当5端输入高电平时为减法,5端输入低电平时为加法,数码管正常计数,说明电路正常。调试完成后,按图将其接入总电路。 【报错分析】

1、计数部分调试时,发现只有第一个数码管计数,而第二个数码管停止不计。经检测,第二个74LS191芯片没有脉冲输入。由此判断,与非门74LS00芯片,出现问题。更换后,计数正常。

2.电路连接完成后,只能做减法而不做加法。经调试,发现74LS74芯片出现问题。更换后,计数正常。

3.更换74LS74后,发现计数紊乱。与电路图对照后,发现,没有将74LS74的

1、4端接到高电平。【实验结果】

整个模块进行调试,对第一模块RG遮光,马上对第二个RG遮光处理,数码显示管按预期的进行1次加法运算。但对第二块RG遮光,马上对第一块RG遮光,则进行2次减法运算。 【应用及评估】

现今人们在生活、学习和工作中,经常需要对某种物品进行数量统计。如进出房间的人数统计。常规的机械计数和人工计数不仅麻烦,而且极为浪费时间和资源。在学习了脉冲数字电路的基础上,本课题所设计的一种利用光线的通断来统计数目的光控计数器,用一种较为简单的设计解决了这一现实问题。而且此产品简单方便,非常易于用于实际生活中,有教高的实用价值。 【所需元器件】

试验箱(含面包板)

1块 555定时器4片 74LS74

1片 74LS00

1片 74LS1912片 74LS47 2片 数码管

2个 电阻:10K欧姆4个 1K欧姆 2个 电容:100µF 2个 0.01µF 4个 【心得体会】

经过两天的实验,使得在课堂上学习的知识得到巩固,同时增加了对电路的分析,连接,调试,错误分析等能力,思维得到了进一步的升华。 1)做课程设计同时也是对课本知识的巩固和加强,由于课本上的知识太多,平时课间的学习并不能很好的理解和运用各个元件的功能,在这次课程设计过程中,我们了解了很多元件的功能,并且对于其在电路中的使用有了更多的认识。

2)通过动手实践让我们对各个元件映象深刻。认识来源于实践,实践是认识的动力和最终目的,实践是检验真理的唯一标准。

3)调试过程是痛苦的,调试电路是需要非常强的理论作为基础的,但也是最能提升能力的部分,只有通过亲自调试电路,发现电路存在的问题,思考产生的原因,并想办法解决问题,才能加深对自己设计电路的认识。 4)此次课程设计,学到了很多课内学不到的东西,比如独立思考解决问题,出现差错的随机应变,能静下心来,不烦躁去解决问题等都受益非浅。 在整个课程设计当中,深刻体会到设计一个电路的难度。虽然已经有设计总电路图,但实验过程中并不是一帆风顺的,期间遇到过好多问题。但最终通过自己的能力得以解决。当看到自己的成果时,发现其实课程设计是很有意思的。我们需要认真的去体会实验给我们带来的乐趣。总之,此次课程设计实验,使我受益匪浅。 【参考文献】

1.阎石.《数字电子技术基础》.高等教育出版社.2.管小明.《数字电子技术基础实验与课程设计》.电子与机械工程学院机电基础教学部

附录

附:光控计数器电路总图

第12篇:数电课程设计

数电课程设计(序列检测器)

课程设计题目:设计一个10010的序列检测器。

设计思路如下:

状态图

INIT=0,A1=1,A2=10,A3=100,A4=1001,A5=10010.图中,沿红线状态从INIT变到A5,即输入为正确的序列10010,黑线为每次输入错误时的状态转移。

状态转移表

设计程序如下

module xljcq(clk,A,unclk); input clk,A; output unclk; reg unclk; reg [4:0] Sreg,Snext; parameter INIT=1\'b0,

A1=1\'b1; parameter [1:0] A2=2\'b10; parameter [2:0] A3=3\'b100; parameter [3:0] A4=4\'b1001; parameter [4:0] A5=5\'b10010; always @(posedge clk)

Sreg

case(Sreg)

INIT: if(A==0) Snext=INIT;

else

Snext=A1;

A1:

if(A==0) Snext=A2;

else

Snext=A1;

A2:

if(A==0) Snext=A3;

else

Snext=A1;

A3:

if(A==0) Snext=INIT;

else

Snext=A4;

A4:

if(A==0) Snext=A5;

else

Snext=A1;

A5:

if(A==0) Snext=A3;

else

Snext=A1;

default Snext=INIT;

endcase end always @(Sreg)

case(Sreg)

INIT,A1,A2,A3,A4: unclk=0;

A5: unclk=1;

default unclk=0;

endcase endmodule

程序功能仿真图:

Figure1

从figure1中可以看出在连续的6个clk的posedge, 输入A为10010,所以在第六个clk的posedge,输出unclk由0变为1。

Figure2

Figure2是紧接figure1的功能仿真时序图,在此时序中,六个clk 的posedge输入A为101010,和设计的要求一样,unclk一直都为0.

第13篇:数电课程设计

目录

一.设计要求„„„„„„„„„„„„„„„„„„„„„„ 二.设计思想„„„„„„„„„„„„„„„„„„„„„„ 三.详细设计„„„„„„„„„„„„„„„„„„„„„„

1.信号源„„„„„„„„„„„„„„„„„„„„„ (1)模10计数器„„„„„„„„„„„„„„„„„ (2)脉冲分频选择电路„„„„„„„„„„„„„„„

2.计时电路„„„„„„„„„„„„„„„„„„„„„ (1)模60计数器„„„„„„„„„„„„„„„„„

(2)模24计数器„„„„„„„„„„„„„„„„„ (3)时钟运行示意图„„„„„„„„„„„„„„„„

3.显示电路„„„„„„„„„„„„„„„„„„„„„ (1)模6计数器„„„„„„„„„„„„„„„„„„ (2)选择显示模块„„„„„„„„„„„„„„„„„ 4.按键控制部分„„„„„„„„„„„„„„„„„„„ 5.蜂鸣器部分„„„„„„„„„„„„„„„„„„„„„ 四.实验碰到的问题„„„„„„„„„„„„„„„„„„„ 五.实验心得„„„„„„„„„„„„„„„„„„„„„„ 六.使用说明„„„„„„„„„„„„„„„„„„„„„„ 七.附录总图„„„„„„„„„„„„„„„„„„„„„„

数电课程设计——简易数字钟

数字钟是一种用数字电路技术实现分、时、秒计时的装置,与机械式时钟相比既有更高的准确度和直观性,因为没有机械装置,所以有更长的使用寿命,因此得到了广泛的使用。数字钟是一种典型的数字电路,包括了组合逻辑电路和时序电路。

一. 设计要求

1. 具有整点报时和校时功能

2. 以6位LED数码管显示时,分,秒。

3. 整点报时采用蜂鸣器实现。每当整点前控制蜂鸣器以低频鸣想4次,响一秒,停一秒,直到整点前一秒以高频响一秒,整点时结束。

4. 采用两个按键分别控制“校时”或“校分”。按下“校时”键时,时显示以0—23循环变化;按下“校分”键时,分显示值以0—59循环变化,但显示值不能变化。

二. 设计思想

LP—2900的基准时钟频率为10MHz,通过7个10分频计数器可以得到1Hz的频率。秒和分为60进制计数器,时为24进制计数器。显示管的6个数字依次是时十位,时个位,分十位,分个位,秒十位,秒个位。每一位用8421二进制表示,如此,一共用24条输出来控制数码管的显示。这样,基本的时钟就确定了。

由于LP—2900外部设置了3-8译码器控制显示器的共阴极,3-8译码器的输出端一次只能输出一位,因此无法同时控制6个显像管。但是当扫描频率提高到每个显示器每秒的导通次数多于50次,由于人眼的视觉暂留效应,就不会感到显示器的闪烁。因此只要采用计数器产生二进制计数信号在高频率脉冲的控制下,3-8译码器就能实现动态扫描。

校分时功能由防抖开关,数据选择器,逻辑门组成,原理是当按下SW键时系统进入校时或校分状态,秒,分,时的进位被断开,脉冲输入按键有效,此时可人为控制脉冲的输入,并且不会再有进位。当SW键断开后,数据选择器重新选择进位信号为输出,各个部分的进位重新有效而脉冲输入按键失效。

蜂鸣器部分由2分频和数据选择器组成,当满足59分51,53,55,57秒时选择500Hz信号给蜂鸣器,当59分59秒时选择1KHz给蜂鸣器。在其他时间段,数据选择器的输出都是0,蜂鸣器不工作。

三.详细设计

1.信号源:

(1) 基础10分频电路:

LP—2900自带基准时钟频率为10Mhz,而秒的频率为1Hz,因此只要通过7个10分频计数器就能得到秒的频率。同时,10Mhz至1Hz的其他频率也做成输出,以备他用。

10分频计数器:(图1)

原理:采用7490作为制作10分频模块的原件。7490是二—五—十进制计数器,内含一个二进制计数器和一个异步五进制计数器输出,因此级联后可实现十进制计数器。QA为二进制计数器的输出,QB~QD为五进制计数器输出,如图1,五进制最高端QD连上CLKA,输入脉冲连的也是五进制的时钟端,那么当QD为1时,二进制计数器得到一个脉冲。QD只有在QD,QC,QB分别等于1,0,1时才等于1,所以每5个脉冲使CLKA得到一个脉冲,也就是说CLKA得得到一个进位,计数为5.而QA作为二进制计数器能记2个数,所以总共可以计数为10.因此一个十进制的计数器就完成了。如图1.

在信号源中封装成一元件的十分频模块:

图2

(2)脉冲分频选择电路:

在数字系统中,通常至少有一个基准脉冲信号发生电路,其他频率的脉冲信号可以通过基准信号分频获得。级联7个模10分频器就可以分别得到10MHz,1MHz,100KHz,10KHz,1kHz,100Hz,10Hz和1Hz共8个频率的信号。10MHz的频率在第七级元件处实现1Hz的输出。(如图3)

信号源原件图3

信号源封装成模块如下图:

2.计时电路

(1)模60计数器

模60计数器由2个74161组成(如图)。74161是4位二进制计数器,模为16,异步复位端CLRN,同步置位端LDN,当置位端有效时,把DCBA输入从QD,QC,QB,QA处输出。CO为进位输出。 考虑到分别显示的问题,让其中一个作为十位,一个作为个位。

图4

上片是低位,下片是高位。当QD,QC,QB,QB满足1001时,与非门提供置位信号给低片,低片置位0000,共计数为10.同时,这个置位信号作为输入信号进入高位片,高位获得一个脉冲计数加一。当高位片计数到0101时,输出一个置位信号到高片LDN,高片置位0000,共计数为6.低片高片级联总共计数60(0~59).这时把第60个数(59)的2个进位信号通过“与”的关系输出,则可以作为整个模60计数器的进位输出。(如图4)

模60计数器封装成模块如下图:

(2)模24计数器

图5

模24计数器由2个74160组成(如图)。74160也是4位二进制计数器,模为10,异步复位端CLRN,同步置位端LDN,当置位端有效时,把DCBA输入从QD,QC,QB,QA处输出。CO为进位输出。 考虑到分别显示的问题,让其中一个作为十位,一个作为个位。其实用74161也能达到同样效果,与74161唯一的不同就是74160的模是10且是8421二进制。

上片是低位,下片是高位。当QD,QC,QB,QB满足1001时,与非门提供置位信号给低片,低片置位0000,共计数为10.同时,这个置位信号作为输入信号进入高位片,高位获得一个脉冲计数加一。当高位片计数到0010时,输出一个置位信号到高片LDN,高片置位0000,共计数为2.再把高片的0200与低片的0100的输出信号通过与非门传送到低电平异步复位端,目的是使计数器在跳到24的瞬间复位。低片高片级联总共计数24(0~23)。(如图5)

模24计数器封装成模块如下图:

(3)时钟运行示意图

D23,d22,d21,d20表示小时的十位

D19,d18,d17,d16表示小时的个位

D15,d14,d13,d12表示分钟的十位

D11,d10,d9,d8表示分钟的个位

D7,d6,d5,d4表示秒的十位

D3,d2,d1,d0表示秒的个位

图6

3.显示电路

动态扫描显示电路的显示器由相同的段信号控制,公共端有效的显示器将显示相同的字符。图7中的3-8译码器74138将输出顺序的脉冲扫描控制6哥共阴显示器公共端C1~C6,每路脉冲信号的周期为6个时钟周期,只有一个时钟周期为低电平,且低电平时间依次错开。即:各个显示器的因极端分时轮流选通,任意瞬时只有一个显示器的公共端为有效低电平,所以虽然所有显示器的阳极端对应共接,但FPGA输出段信号只能使当前阴极为低点频的那个显示器显示字符,其他的显示器由于高电平而处在灭显状态。所以要使各显示器显示不同的内容,必须使他们的公共段轮流有效。在高频率脉冲下利用人眼的视觉暂留效应看起来就是共同显示了。那么总共有6个显像管,因此端口DE3,DE2,DE1只要6个循环输出就能完成。

图7

(1)模6计数器

图8

模6计数器由1个74161组成(如图8)。

当QD,QC,QB,QB满足0101时,与非门提供置位信号,在下一个脉冲信号来时QD,QC,QB,QB被置位0000,共计数为6.

模6计数器封装成模块如下图:

(2)选择显示模块

图9

显示选择模块由4个74151组成(如图9)。74151是8位选1数据选择器,输入端C,B,A控制输出给定数据D7~D0.

图中各个输入端的接口代表意义如图6所示。

因为显像管由7448译码器控制(如图10),而7448译码器有4个输入端一次接受一个四位8421二进制码。所以安排四个数据选择器。Yd,yc,yb,ya分别连7448的D,C,B,A口。 当DE3,DE2,DE1是000时,显像管第一个工作,显示的是小时的十位。小时的十位应该是d23, d22, d21,d20.这4个数分别来自四个数据选择器的D0端口。

当DE3,DE2,DE1是001时,显像管第二个工作,显示的是小时的个位。小时的个位应该是d19, d18, d17,d16.这4个数分别来自四个数据选择器的D1端口。

当DE3,DE2,DE1是010时,显像管第三个工作,显示的是分钟的十位。分钟的十位应该是d15, d14, d13,d12.这4个数分别来自四个数据选择器的D2端口。

当DE3,DE2,DE1是011时,显像管第四个工作,显示的是分钟的个位。分钟的个位应该是d11, d10, d9,d8.这4个数分别来自四个数据选择器的D3端口。

当DE3,DE2,DE1是100时,显像管第五个工作,显示的是秒钟的十位。秒钟的十位应该是d7, d6, d5,d4.这4个数分别来自四个数据选择器的D4端口。

当DE3,DE2,DE1是101时,显像管第六个工作,显示的是秒钟的个位。秒钟的个位应该是d3, d2, d1,d0.这4个数分别来自四个数据选择器的D5端口。

可见,当模六计数器的输出和DE3,DE2,DE1及4个数据选择器的C,B,A连接时,模6计数器每得到一个脉冲,显像管一次点亮并显示这一位的数值。当模6计数器的脉冲频率为1KHz时,人眼分辨不出显像管的闪烁。数字时钟的时钟部分就完成了。

图10

4.按键控制部分

图11

按键控制部分由D触发器和双四选一数据选择器74153组成。实际上只要用一个四选一数据选择器即可。当B、A=00时,选出1C0;当B、A=01时,选出1C1;当B、A=10时,选出1C2;当B、A=11时,选出1C3。1C0与1C1为所断开部分本来要有的进位,1C2=0,1C3为高电平。如此:

当SW按下时,A=1,当按下PS时,B=1,选通1C3,输出一个脉冲,认为添加一个脉冲,达到修改时间的目的。

由于PS按钮默认为弹起状态,因此默认是0.所以SW未被按下时,输出的是本来该有的脉冲,并且即使按下PS,BA=10输出的是低电平,输出的0并不会引起下一级的计数器跳变。这样SW就成了校对允许使能开关。

D触发器的作用是消除毛刺,不然按下PS键,进入下一级计数器的信号是不可预知的。

按键控制模块封装成模块如下图:

图12

5.蜂鸣器部分

图13

蜂鸣器部分由一个74161和一个74153组成(如图13)。上半部分的输入依次为下表的含义。Min_h, min_g, min_f,min_e表示输入分钟的十位。Min_d, min_c, min_b, min_a表示输入分钟的个位。Sec_h, sec_g, sec_f, sec_e表示输入秒的十位。Sec_d, sec_c, sec_b, sec_a表示输入秒的个位。

74161的部分是一个2分频计数器,使输入的频率除半。按照要求必须是59分的51,53,55,57,59秒有响声,而59秒的响声频率更高,其他的时间却不能响。74153的作用是选通信号,决定蜂鸣器什么时候工作。如果把2个四输入与门叫做T1,T2,与非门称作T3。则:

当T1和T2在59的时候各自为1,此时T3为0,所以B=0,A=1,选通1C1为1KHz。这就是59分59秒频率高的一次。

当T1为59,T2输入为51,53,55,57时,B为0.A也是0,此时选通1C0,500Hz。

当T1,T2在以上讨论的范围外,T3为1,即B=1,此时不管BA=10或11选通1C2或1C3,74153接地,输出低电平,蜂鸣器不响。

蜂鸣器模块封装成模块如下图:

图14

四。实验碰到的问题

做这个课程设计的时候,烦了很多愚蠢的问题,但是在没被点穿的情况下还真实自己发现不了。

问题1:

最开始显示的时间是倒着的。比如应该显示15时34分27秒,15 34 27时,但是输出的却是72 43 51.错误的原因是扫描显示的第一个脉冲是DE3,DE2,DE1=000.选择的是每一个的数据选择器的D0脚的数据。所以应该把小时的数字的二进制码显示在第一第二个显示器上。而我的错误在于把秒放在了最先显示的显示器上。

问题2:

模60计数器在59进到00时有衔接问题。比如说3 58到3 59时我的错误过程是3 58→4 59→4 00.即:高位的进位早于进位的跳变。错误的原因在于模60计数器的输出进位时,上边沿与下边沿的问题。因为我一开始在进位的与非门是用与门的。由于模60计数器的cp端是上边沿的,所以如此以来在跳到59的瞬间,下一级就进位了。当换成与非门后,在59后不是59的时候进位,只有60(00)那一瞬进位,所以正确了。

问题3: 按键控制模块中,校对允许开关在开启后关闭的时刻会加一。例如在3时34分的时候让他变成45分,再按下校对允许开关关闭校对时会变成3时46分。时校对也有这个问题。错误在于对SW开关也要加D触发器防止毛刺。虽然SW按键没有PS按键的毛刺现象严重,但还是有的。加了D触发器就没问题了。

问题4:

引脚分配有一个bug。比如有个引脚记作A,另一个记作a。在分配引脚的时候不会有错误,但是执行的时侯会出错。一个引脚会失效。解决方法就是换个名字啦。

问题5:

一开始设计蜂鸣器的时候一点想法都没有,唯一确定的就是一定会用上门电路仅此而已。最初稿专门为在59分59秒的那一刻高频率响声设计了一套门电路。既要在59分的时候连线出来,又要在1,53,55,57秒连线出来接与门,还要在59分,59秒的时候练出来接一个高1KHz的脉冲,满屏幕的都是线。最终那个蜂鸣器还不想,郁闷了很久。和同学讨论后,在59秒的9这里连线出来通过数据选择器可以巧妙的让59秒的那一刻发出高频率鸣叫。这样可以减少一般的逻辑门。

五。实验心得:

本次的课程设计还是蛮挑战思维的,在制作的过程中暴露了我一系列的逻辑错误。但是在实验的过程中发现的错误真的比书面作业印象深刻,我觉得这次犯下的错误貌似没有再犯的可能了。

一开始做课设的时候,除了计数器是可以立刻用到上课学的内容其他的一点想法都没有,选择器,译码器貌似指停留在了做题的阶段,如何运用完全没有想法。但是随着进度的加快,思维越来越敏捷,而且一开始犯下的错误也越来越快速的发现错误以及得出解决方法。

这次课程设计给我印象最深的就是解决毛刺现象。在书中写:数字电路中常将毛刺定义为采样间越过逻辑门限一次以上的任何跳变,主要是指电路输出波形中含有时间很短有规律或没有规律的脉冲而又对设计没有用处或产生其他影响,一般都要考虑去除毛刺。但是具体的事例看起来又那么费劲,毛刺现象出现仅为几纳秒,感觉那么遥远,那么难以捕捉,但是这次让我着实体会了一遍。在制作按键控制模块的时候,当时只用了一个D触发器,我因为粗心把应该连PS按键的D触发器连在了SW校对允许开关上。当我实际操作的时候,每次数值跳动的变化相当大,而且不是有规律的递增或者是递减。比如:显示为12分的时候按下分校对PS按键,会一下子变成33分,再按一下又变成了24分,再按下变成45分。老实说虽然当时对自己的设计没啥信心,但是也不至于跳变成这个样子嘛。好歹必须是递增的啊?于是我仔细查看我的数据选择器,没有发现错误,仔细查看了模60计数器,没有错误。最后终于发现了连错D触发器这个问题。我第一次对毛刺有了那么刻骨铭心的认识:毛刺现象虽然短,反应还真大咧。把D触发器连上后,立刻就没问题了。

我搜索了一下,解决毛刺现象的方法有采用格雷码计数器取代普通的二进制计数器,或者利用D触发器的D输入端对毛刺不敏感等等解决方法。换做以前,连毛刺的概念都无法深刻理解的我,当然别提记住这些解决方法,但是现在深有体会后,解决方法竟然过目不忘。顺便还解决了我心中悬浮很久的一盒疑问:“根据D输入端对毛刺不敏感这个特性,我们应当在系统中尽可能采用同步电路,这是因为同步电路信号的变化都发生在时钟沿,只要毛刺不出现在时钟的沿口并且不满足数据的建立和保持时间,就不会对系统造成危害。”怪不得书中那么多例子都是用上边沿的呢。

在制作的后期,我曾试图再加一个秒校对模块。只是一时兴起,离下课之际随便连了一下没仿真就下载了。遗憾的是当时没有意识到FPGA只提供了两个上边沿的触发按钮,而再要用触发按钮的就是下边沿了,这样校对模块的内部必须重新设计,内部的数据选择器的数据位置得调下。后来就不了了之了。

这次的设计唯一的遗憾就是时间太短了,其实我对附加题的秒表部分,闹钟部分,甚至还想好了倒计时部分的制作方法。但是如果一一实践和调试的话报告就没时间做了。整个设计从头到尾一个人埋头苦干,做完了真是很有成就感。

六。使用说明

1.下载到系统上,时钟自动已运行。

2.按下SW1,分校对启动,按PS3可对分加一。设置完毕,再按下SW1.3.按下SW2,时校对启动,按PS4可对时加一。设置完毕,再按下SW2.

七。附录完整电路图: 图15 七:参考文献:

1.《基于FPGA的数字电路系统设计》崔葛瑾主编。2.《数字电路及系统设计》赵曙光刘玉英崔葛瑾编著。

第14篇:数电课程设计

课 程 设 计 报 告

题目 多路彩灯控制器设计

2013-2014 第二学期

班 级 电气一班 姓 名 尹星星 学 号 201295014014 指导教师 韩芝侠 单 位 宝 鸡 文 理 学 院

2014 年 6 月 24 日

多路彩灯控制器设计

[摘 要] 随着科学技术的发展以及人民生活水平的提高,在现代生活中, 彩灯作为一种装饰既可以增强人们的感观,起到广告宣传的作用,又可以增添节日气氛,为人们的生活增添亮丽。由于电子技术的发展, 应用系统向着小型化、快速化、大容量、重量轻的方向发展,彩灯作为一种景观应用越来越多。在电子电路设计领域中,电子设计自动化工具已成为主要的设计手段,通过对电路的控制来控制彩灯的变化状况。本次设计是八路彩灯控制器,现代生活中,彩灯已经成为必不可少的景观,此次设计本着与实际生活密切联系的原则,论述了使用数字点知技术设计八路彩灯控制器的过程,在设计中使用了振荡电路、显示电路、译码器、计数器、分频器、移位寄存器等各种电路,大大地提高了设计的灵活性、可靠性和可扩展性,为大学生更好地认识社会提供了很好的机会。 [关键词] 自动控制;工作可靠; 彩灯控制器;

1 设计任务和要求

彩灯控制器可以自动控制多路彩灯按不同的节拍循环显示各种灯光变换花型。彩灯控制器是以高低电平来控制彩灯的亮灭,彩灯控制采用移位寄存器实现。在实际应用场合彩灯可能是功率较大的发光器件,需要加以一定的驱动电路。本课题用发光二极管LED模拟彩灯,可以不用驱动,可以实现对八盏LED彩灯的简单控制。

现要求设计一个8路移存型彩灯控制器,彩灯用发光二极管LED模拟,具体要求如下:

任务一

(1)彩灯能够自动循环点亮,产生一种流动变化的效果,俗称流水灯控制; (2)彩灯循环显示且频率快慢可调。 (3)该控制电路具有8路输出。

任务二

八个发光二极管(代替彩灯)一字排开,以它们的明暗构成彩灯图形,其循环模式为: (1)彩灯全熄4秒钟;

(2)彩灯自左向右在4秒钟内依次点亮; (3)彩灯自右向左在4秒钟内依次熄灭; (4)彩灯全亮4秒钟;

2 2 总设计

根据题目的任务、要求和性能指标,经过分析与思考,得出以下方案: 整体电路分为三个模块:第一个模块实现节拍的发生;第二个模块实现彩灯明暗变化的控制;第三个模块实现彩灯变化的显示。 主体框图如下:

显 示 电 路„„2HzCP源八位双向移位寄存器/CRS1 S0控制电路八分频电路四分频电路+振荡CLK电路计数器/译码分配器

图1 任务1原理框图 图2 任务2原理框图

显示电路在本方案中,各单元电路只实现一种功能。其优点在于:电路设计模块化且各模块功能明确,易于检查电路,对后面的电路组装及电路调试带来方便。缺点是:由于设计思想比较简单,元件种类使用少,花型复杂一些就会导致中间单元电路连线过多而易出错。

„„开机清零电路

3 模块设计

任务1 3.1.1 多谐振荡器的设计

多谐振荡器电路采用由555定时器制作而成的多谐振荡器制作而成,由一个555定时器、两个电阻、两个二极管、两个电容和若干导线组成。实现输出脉冲信号。任务要求为转换节拍为1s,即8个(彩灯个数)时钟信号的总时长为8s,而改变RA、RB的大小则可以改变彩灯的快慢,选择RA=10kΩ,RB=23.5kΩ,C1=10μF, C2=0.01μF,从而T1+T2=(R2+2R1)C1ln2=1s,使得8(T1+T2)=8s s,1为输出端。其方框图和功能表如图

3、图4所示

图3 可变多谐振荡器电路图 图4 555功能表

3

3.1.2 计数器的设计

计数电路主要由一个74LS160十进制计数器及与非门等基础控制电路组成,主要实现对之前的时钟信号计数以控制后面的状态转换电路。计数器从Q3Q2Q1Q0=0000开始计数,当第7个CP到达后,计到0111,并不能立即清零,而是要等第7个脉冲上沿到来后,计数器被置 成0000。不会用异步清零端那样出现0110过渡状态,这是与用异步清零端的差别。用74LS160设计的八进制计数器和功能表如图

5、图6所示

图5 八进制计数器电路图 图6 八进制计数器功能表

3.1.3 3-8译码器与显示电路的设计

1)3-8译码器

在数字系统中,能将二进制代码翻译成所表示信息的电路称为译码器。译码器是一个多输入、多输出的组合逻辑电路。它的作用是把给定的代码进行“翻译”,变成相应的状态,使输出通道中相应的一路有信号输出。译码器在数字系统中有广泛的用途,不仅用于代码的转换、终端的数字显示,还用于数据分配,存贮器寻址和组合控制信号等。不同的功能可选用不同种类的译码器,本次选择3-8译码器。3-8译码器电路的输入变量有三个即D0,D1,D2,输出变量有八个Y0-Y7,对输入变量D0,D1,D2译码,就能确定输出端Y0-Y7的输出端变为有效(低电平),从而达到译码目的。其原理图和功能表如图

7、图8所示

图7 3-8译码器原理图 图8 3-8译码器功能表

4

2)显示电路

此次试验的显示器为共阳极显示器,显示器的com端需要接地,所谓共阳LED 数码管8段 LED 的阳极是连在一起的,限流电阻要根据电源电压来选取,电源电压5V时可使用430Ω的限流电阻。如图9所示

图9 显示电路

任务二

3.2.1多谐振荡器的设计

多谐振荡器电路采用由555定时器制作而成的多谐振荡器制作而成,由一个555定时器、两个电阻、两个二极管、两个电容和若干导线组成。实现输出脉冲信号。任务要求为转换节拍为0.5s,即8个(彩灯个数)时钟信号的时长为0.5s,故选择RA=4kΩ,RB=1kΩ,C1=10μF, C2=0.01μF,从而T1+T2=(R2+2R1)C1ln2=0.0624s,使得8(T1+T2)=0.499s约等于0.5s,1为输出端。其方框图和功能表如图

10、图11所示

图10 可变多谐振荡器电路图 图11 555功能表

5 3.2.2 移位寄存器的设计

移位寄存器是一个具有移位功能的寄存器,是指寄存器中所存的代码能够在移位脉冲的作用下依次左移或右移。既能左移又能右移的称为双向移位寄存器,只需要改变左、右移位的控制信号便可实现双向移位要求。根据移位寄存器存取信息的方式不同分为:串入串出、串入并出、并入串出、并入并出四种形式。移位寄存器应用很广,可构成移位寄存器型计数器:顺序脉冲发生器;串行累加器;可用作数据转换,即把串行数据转换为并行数据,或把并行数据转换为串行数据等。本次实验选用两片74LS194组成双向移位寄存器研究移位寄存器,其中 D0、D

1、D

2、D3为并行输入端;Q0、Q

1、Q

2、Q3为并输出端;SR为右移串行输入端,SL为左移串行输入端;S

1、S0为操作模式控制端;C R为直接无条件清零端;CP为时钟脉冲输入端。CC40194有 5种不同操作模式:即并行送数寄存,右移(方向由 Q0→Q3),左移(方向由 Q3→Q0),保持及清零。器原理图和功能表如图

12、图13所示

图12 双向移位寄存器原理图 图13 双向移位寄存器功能表

3.2.3 八进制计数器的设计

八进制计数电路主要由一个74LS160十进制计数器及与非门等基础控制电路组成,主要实现对之前的时钟信号计数以控制后面的状态转换电路。计数器从Q3Q2Q1Q0=0000开始计数,当第7个CP到达后,计到0111,并不能立即清零,而是要等第7个脉冲上沿到来后,计数器被置 成0000。不会用异步清零端那样出现0110过渡状态,这是与用异步清零端的差别。用74LS160设计的八进制计数器原理图和功能表如图

14、图15所示

图14 八进制计数器原理图 图15 八进制计数器功能表

6 3.2.4 模四计数器的设计

模四计数器电路主要由一个74LS160十进制计数器及与非门等基础控制电路组成,主要实现对之前的时钟信号计数以控制后面的状态转换电路。计数器从Q3Q2Q1Q0=0000开始计数,当第3个CP到达后,计到0011,并不能立即清零,而是要等第3个脉冲上沿到来后,计数器被置 成0000。不会用异步清零端那样出现0010过渡状态,这是与用异步清零端的差别。用74LS160设计的模四计数器原理图和功能表如图

16、图17所示

图16模四计数器原理图 图17 模四计数器功能表

3.2.5 显示电路

此次试验的显示器为共阳极显示器,显示器的com端需要接地,所谓共阳LED 数码管8段 LED 的阳极是连在一起的,限流电阻要根据电源电压来选取,电源电压5V时可使用430Ω的限流电阻。如图18所示

图18 显示电路

7 4 设计总原理图

任务一

任务二

8

5设计总结

通过本次课程设过程中,我有很多心得体会。

第一,学习要知其然,更要知其所以然。 通过实验,我们发现了很多实验现象不同于设计时料想的。通过在软件中尝 试性修改,我们终于得到了正确的设计方法。 但是,我们不仅满足于做出可以正常工作的电路。我们分析电路,自行设计小试验验证部件功能。最后,我们充分理解了它,可以从原理上解释各种现象。 在更充分的理解电路后,我们可以不再只通过不断试验改进设计方案,而是从理论分析后,从根本上直接解决问题,设计出各种优化设计。 完成这个作品不是真正的目的,真正的目的是巩固知识,并培养学以致用的能力,体会做人做事的道理。

第二,细节定成败。 我组在课设过程中,有时运行程序无法模拟执行,但找不到电路连接错误之 处。在其他同学的提示下才发现是由于子电路合并成总电路时用了复制功能,而使一些元件参考(R)值相同,而无法执行。困扰我们最深的往往不是具体知识上的掌握问题,而是我们最容易忽略的其他细节。

第三,实践出真知 我们信心满满的设计总图初稿在实验之后,被证明是,不仅缺乏优化,而且错误多多的。 “纸上得来终觉浅,得知此事要躬行”。我们经过实践中磨练改进,最终才做出今天的作品。实践出真知,我们要在学习理论后,在实践中应用,以理论指导实践,从实践中升华出理论。

第四,要拥有感恩的心,懂得合作。 我在此要感谢与我同组的同学,以及其他帮助我们的同学,是我们的合作,让课设得以完成。我们都认识到了团队合作的含义。 更要感谢为我们认真验收,细心指导的老师。 总而言之,本次课程设计不止让我在知识掌握和应用水平上有了显著提高,而且让我明白了很多课本之外的做人做事的道理。

6参考文献

[1] 江晓安.模拟电子技术[M].北京:高等教育出版社(第3版),2006.1.[2] 江晓安.数字电子技术[M].北京:高等教育出版社(第3版),2006.1.[3] 韩芝侠.数字电路在EDA开发系统上的实现方法研究[J].宝鸡文理学院学报(自然科学版),2010,6(2):57-60.[4] 韩芝侠.一款工作可靠的智力竞赛抢答器电路的设计与分析[J].现代电子技术,2005(20):29-31.

9

附录1 需要用到的元件引脚图

555引脚图 74LS160

74LS194引脚图 3-8

引脚图

译码器引脚图

第15篇:数电课程设计

数字电子技术课程设计报告

题目:自动浇花系统

班级:

目录

一、设计任务要求———————1

二、方案设计与论证——————2

三、

四、

五、

六、

七、

各单元电路设计与分析————————————————3 总体电路原理图及元器件清单—————————————7 电路仿真及仿真结果分析———————————————7 作品照片——————————8 结论与心得体会———————10

自动浇花系统

一、设计任务要求:

1.要求实现至少两种不同的浇灌模式(区别可以体现在浇灌频率、水量以及时段等方面);

2.可以通过按键实现不同模式间进行切换的功能;3.通过使用流水灯转换的快慢模拟浇灌时期水量的大小; 4.显示当前浇花区间内浇灌的次数;

二、方案设计与论证:

输入两位十进制数设置浇花时间间隔,输入一位2进制数表示水量模式选择。用流水灯模拟浇花过程,用LED显示浇花次数。可通过计数器计算时间,与用户输入的时间间隔数字比较,如果相等,则通过流水灯模拟浇花过程。

三、各单元电路设计与分析:

1

分析:此电路图是由两位进制数的计算器可以选用两个74LS90 而组成,其对应电路如上图。通过一个时序脉冲频率为100HZ来给信号,当第一个计数器达到9时,需要进制计数所以在通过74LS08与非门来计数十位的数。

2

分析:这是一个两个由74LS85D芯片组成的两位十进制的比较器,此电路简单,是用来通过用户输入的指令比较如果相等则表示通过,那么系统就会浇花,则LED就会显示次数。

3

分析:此电路为核心电路,可以使用一个触发器或寄存器保留浇花指令信号。使用一个计数器记录浇花时间。浇花结束后反馈给触发器一个信号使其复位。用到jk触发器和90计数器和08,32等芯片。

四、总体电路原理图及元器件清单:

4 总体电路原理图:

元器件清单:74LS90五个,7485两个,74138两个,74LS112一个,74LS08一个,74LS32一个,直流稳压电源 。

五、电路仿真及仿真结果分析:

5

六、作品照片:

6

七、:结论与心得体会:

7 结论:此次的实验结果与原理结果相吻合,通过用户输入的指令与计数器计算的时间相比较,如果是一致那么则启动该系统,通过流水灯闪烁来代表浇花的过程,已达到实验的目的和效果。

心得体会:在此次实验的过程中,自己首先要了解自己做的作品的目的和想法。要以一个抽象的概念模拟实验,不能是盲目的去做一些简单的焊锡,打孔,跳线等没有思考的问题。自己要去学会怎么用仿真软件去将你的实验原理图验证正确才可以下手。再有就是学会AD 画图每一个细节都是不能忽视的,比如说找个电阻,电容,二极管。等一些电子元器件,如果你没有很好的掌握此AD软件的功能那么你是无法快速的找到对应的元件,还有就是在画图的过程中需要考虑很多小问题,比如说线不能重叠需要跳线,但是你的板子很小,这就需要你想一个巧的办法去解决,从那些元件的空隙连过去也是可以的。一些元件的使用方法也是值得我们注意的,比如说数码管,它分供阴极和供阳极,如果你搞错了你也是的得不到你想要的结果。芯片的正反是否装错,在焊锡的时候是否打吧芯片给烧坏了等一系列微小,但有不得不值得我们注意的问题。所以在做实验是我学会了要仔细仔细再仔细,做完后需要学会通过用万用表检测电路是否没有短路或者断路,与自己的实验原理图对照要及时的找出问题所在。自己的思维能够严谨。一个完整的实验是需要一个人的耐力,信心,仔细,创新的许多品质,达不到实验的目的绝对是不行的。没有恒心和毅力就这么个小小的实验都做不出来,那么将来在社会上什么事情都不会让你干,遇到困难就想放弃你也就什么事情都干不成。因此通过此次的数

8 电课程设计我更加明白了我们现在差欠的品质太多,从做作品的效率和效果来看就是你要步入社会的状态。我们需要更加努力的在大学期间磨炼自己,学好自己的专业课,从大学中培养良好的品质完善自己,以便出社会更好的应对麻烦和问题。

9

第16篇:数电课程设计

摘要

数字式竞赛抢答器是有抢答、提前抢答警报、倒计时、数码管显示等组成。抢答的部分需要的时序频率高,整个系统需要一个时序提供,中间需要很多的逻辑门电路,还需要555定时器提供时序。

根据抢答器的功能,分成几部分进行模块化设计,更加容易调试和设计。有抢答模块、时序模块、显示模块、倒计时模块。在抢答的模块需要考虑竞争关系,还要有锁存抢答的组别,555定时器模块要搭配好电阻和电容,因为他们的比值决定了周期。在设计电路时,首先是软件模拟mutisim并在软件上进行优化,以达到线路交叉最少,最后买零器件进行焊接工作,焊接完成后进行试验测试和修改。这个抢答器还可以扩展其他高级功能。

1 / 16

目录

 分析问题…………………………………………………..

3

 查阅资料…………………………………………………..

4

 模块设计…………………………………………………..

 组合优化…………………………………………………..

 软件模拟…………………………………………………..

 器件选择…………………………………………………..

 电路焊接…………………………………………………..

 实验调试…………………………………………………..

 实验总结………………………………………………….. 2 / 16

9 12 12 13 13 15 16

分析问题:

我们共同协商最终选择了抢答器方案。根据抢答器的要求:

1) 设计制作一个可容纳四组参赛的数字式抢答器,每组设置一个抢答按钮供抢答时使用且

电路具有第一抢答信号的鉴别和锁存功能。

2)在主持人将系统复位并发出抢答指令后,用数码管显示倒计时和第一抢答组别且该组别对应指示灯亮,同时电路的自锁功能使别的抢答开关不起作用。 3)对提前抢答和超时作答的组别鸣喇叭示警,并由组别电路显示出犯规组别。 扩展要求:设置对应的计分(含加分与扣分)电路。

首先是要有抢答功能,这里用非锁死的按键进行抢答,还涉及到了优先编码器,抢答之后要把抢到的组号锁存,这就用到了锁存器,然后通过led灯显示抢答到的组。回答问题需要倒计时,用计数器设计倒计时,然后通过数码管显示。这里需要时钟信号,选用555定时器产生方波信号为整个系统提供时序。用蜂鸣器提示提前抢答和超时发言,并用数码管显示组别。中间还需要大量的逻辑的运算,这里就一一的罗列,因为比较繁琐。这就是大致的方案,接下来就是查资料,实现每个模块。

3 / 16

查阅资料

查相关的芯片资料,方便后面的设计:

 74148:

首先是在优先编码器电路中,允许同时输入两个以上编码信号。不过在设计优先编码器时,已经将所有的输入信号按优先顺序排了队。在同时存在两个或两个以上输入信号时,优先编码器只按优先级高的输入信号编码,优先级低的信号则不起作用。74148是一个八线-三线优先级编码器。

74148优先编码器为16脚的集成芯片,除电源脚 VCC(16)和GND(8)外,其余输入、输出脚的作用和脚号如图中所标。其中 I 0— I 7为输入信号, A2,A1,A0为三位二进制编码输出信号, EI是使能输入端, EO使能输出端, G S为片优先编码输出端。

由74148真值表可列输出逻辑方程为:A2 =(I4+I5+I6+I7)EI A1 = (I2I4I5+I3I4I5+I6+7)· EI A0 = (I1I2I4I6+I3I4I6+I5I6+I7)· EI

当使能输入 IE=1时,所有输出端群被封锁在高电平。

当使能输入IE=0时,允许编码,在I0~I7输入中,输入 I7优先级最高,其余依次为: I6,I5,I4,I3,I2,I1,I0等级排列。

使能输出端EO的逻辑方程为:

4 / 16

EO =I0· I1· I2· I3· I4· I5· 67· EI,

此逻辑表达式表明当所有的编码输入端都是高电平(即没有编码输入),且EI=0时,EO才为零;

表明EO的低电平输出信号表示“电路工作,但无编码输入。

扩展片优先编码输出端 G S的逻辑方程为: GS = (I0+I1+I2+I3+I4+I5+I6+I7)· EI 此时表明只要任何一个编码输入段有低电平信号输入,且EI=0,GS即为低电平。 GS的低电平输出信号表示“电路工作,而且有编码输入。”(GS=0)[1] 74LS48芯片是一种常用的七段数码管译码器驱动器,常用在各种数字电路和单片机系统的显示系统中,下面我就给大家介绍一下这个元件的一些参数与应用技术等资料。

 74ls48

74LS48除了有实现7段显示译码器基本功能的输入(DCBA)和输出(Ya~Yg)端外,7448还引入了灯测试输入端(LT)和动态灭零输入端(RBI),以及既有输入功能又有输出功能的消隐输入/动态灭零输出(BI/RBO)端。

由7448真值表可获知7448所具有的逻辑功能: (1)7段译码功能(LT=1,RBI=1)

在灯测试输入端(LT)和动态灭零输入端(RBI)都接无效电平时,输入DCBA经7448译码,输出高电平有效的7段字符显示器的驱动信号,显示相应字符。除DCBA = 0000外,RBI也可以接低电平,见表1中1~16行。

(2)消隐功能(BI=0)

此时BI/RBO端作为输入端,该端输入低电平信号时,表1倒数第3行,无论LT 和

5 / 16

RBI输入什么电平信号,不管输入DCBA为什么状态,输出全为“0”,7段显示器熄灭。该功能主要用于多显示器的动态显示。

(3)灯测试功能(LT = 0)

此时BI/RBO端作为输出端, 端输入低时,表1最后一行,与 及DCBA输入无关,“1”,显示器7个字段都点亮。该功能用于7测试,判别是否有损坏的字段。

(4)动态灭零功能(LT=1,RBI=1)

此时BI/RBO端也作为输出端,LT 端输入高电平信号,RBI 端输入低电平信号,若此时DCBA = 0000,表1倒数第2行,输出全为“0”,显示器熄灭,不显示这个零。DCBA≠0,则对显示无影响。该功能主要用于多个7段显示器同时显示时熄灭高位的零。

74ls190: 190 的预置是异步的。当置入控制端( LD )为低电平时, 不管时钟CP 的状态如何,输出端(Q0~Q3)即可预置成与数 据输入端(D0~D3)相一致的状态。

190 的计数是同步的,靠CP 加在4 个触发器上而实现。当 计数控制端(CT )为低电平时,在CP 上升沿作用下Q0~Q3 同时变化,从而消除了异步计数器中出现的计数尖峰。当计数 方式控制(U /D)为低电平时进行加计数,当计数方式控制 (U /D)为高电平时进行减计数。只有在CP 为高电平时CT 和

6 / 16

电平信号输出全为段显示器U /D 才可以跳变

190 有超前进位功能。当计数溢出时,进位/错位输出端

(CO/BO)输出一个低电平脉冲,其宽度为CP 脉冲周期的高 电平脉冲;行波时钟输出端( RC )输出一个宽度等于CP 低电平部分的低电平脉冲。

利用 RC 端,可级联成N 位同步计数器。当采用并行CP 控制时,则将RC 接到后一级CT ;当采用并行CT 控制时, 则将RC 接到后一级CP。 引出端符号

CO/BO 进位输出/错位输出端 CP 时钟输入端(上升沿有效) CT 计数控制端(低电平有效) D0~D3 并行数据输入端

LD 异步并行置入控制端(低电平有效) Q0~Q3 输出端

RC 行波时钟输出端(低电平有效) U /D 加/减计数方式控制端

7 / 16

74LS273:

D1~D8为数据输入口; Q1~Q8为数据输出口 CLK为触发时钟; CLR为数据清除端。

74HC244:

八同相三态缓冲器/线驱动器

74HC244芯片的功能

如果输入的数据可以保持比较长的时间(比如键盘),简单输入接口扩展通常使用的典型芯片为74HC244,由该芯片可构成三态数据缓冲器。74HC244芯片的引脚排列如图1所示。

由于AT的51系列单片机一般用并口进行编程,理论上可以直接用单片机的几根I/O口接并口线,但如果电路板没做好,可能会连带把计算机并口烧坏,所以要加个74HC244芯片隔离一下。

74HC244芯片的引

74HC244芯片使用

74HC244芯片冲器,使用时可分别以工作信号。

当1G和2G都为低电平时,输出端Y和输入端A状态相同;当1G和2G都为高电

8 / 16

说明

内部共有两个四位三态缓1G和2G作为它们的选通平时,输出呈高阻态。

74HC244芯片内部共有两个四位三态缓冲器,使用时可分别以1G和2G作为它们的选通工作信号。当1G和2G都为低电平时,输出端Y和输入端A状态相同;当1G和2G都为高电平时,输出呈高阻态。

 模块设计

抢答模块:

首先是用非锁死按键作为输入,通过接上拉电阻。当有按键按下时,电位被拉低,抢答的信号输入74hc148进行有限编码,这里就有了抢答的作用,每次只有一个输入的效果,再把结果通过74ls273进行锁存。以防止后面按键操作的影响。再把273的输出信号进行译码输出到数码管显示组别和用led灯进行提示。输出信号和倒计时信号有一个比较的关系,如果提前抢答蜂鸣器会提示相关的led灯也会提示组别。 下面是软件设计的电路:

9 / 16

 时钟信号产生器:

用555定时器产生方波信号,通过设计合适的电阻比产生了不同的周期,r6,r7,c1一起决定了方波信号的占空比为50%。把信号输出到190计数器进行倒计时时钟模块。

10 / 16

 倒计时模块:

这里使用74ls190十进制作为倒计时芯片。

 提前抢答提示和回答超时模块:

这里使用很多逻辑门电路,解释起来很繁琐。

11 / 16

 组合优化:

进行线路布局,优化了链接,这样更加合适焊接电路,合理化一些线,这样减少跳线。

 软件模拟

12 / 16

通过实验软件模拟是成功地。

 器件选择

这个去小寨格买零件,选择都是抗干扰强的芯片。

 电路焊接

在焊接这方面由于涉及的跳线非常多,所以用的跳线很多,看起来不美观。确实显示焊接比想象的更加复杂,首先要分辨每个引脚的功能,因为芯片的引脚是不规则与仿真的引脚位置不一致的。最终花了两天的时间焊接出了。

13 / 16

14 / 16

 实验调试

去实验室调试时,两个数码管可以正常显示,有一个数码管的显示乱码,然后抢答无法锁存,通过测试发现是273的锁存出现了问题,由于273的时序不是用定时器提供,而是用抢答输出信号通过逻辑门输出的,所以出现了,数据输入和锁存信号的竞争冒险。蜂鸣器也不响,灯也不会亮。由于电路板已经焊出来,很难进行排除。但是电路仿真时没有问题的。

 实验总结:

15 / 16

这次的数电课程设计是一个小组一起完成的,工作分配是个问题,由于大家也不知

道擅长什么,所以我们是一起软件设计,组员互相讨论出相应的方案。在设计的时候由于线路很多,很容易连错线路,而且还要对照着芯片的数据手册进行连接。在选择芯片是还要考虑能不能买到。其中数码管的例子就是,当时设计的时候用已经集成编码的数码管,但是现实中没有买到,所以又要加多译码器芯片。其实讨论的更多的是逻辑门的处理,因为其中涉及很多逻辑连接,市面上没有单个逻辑门买,一个芯片上集成了多个逻辑门,所以在优化的时候需要注意连线,可能软件上连接出来的是很简单,但是实际中不一定是简单的。在焊接方面我们原则是能不跳线就不跳线。

实验调试环节,第一实验调试有一个数码管乱码,而且led,蜂鸣器也不会工作。第二次的时候我的搭档拿去给老师检查,但是问题没有被解决。第三次去实验室,重新的分析电路一遍,发现锁存器的问题,然后我们用人工时序信号代替锁存信号,最终成功解决了抢答问题。其他的问题没有找到解决方法,但是软件仿真时成功的。

这次课程设计再次说明了现实和理论的差别,不论软件模拟多么完美,实际中还是会有问题出现的。这次合作我觉得是个很好学习方式,不同的思想碰撞在一起,产生新的最优的想法。

16 / 16

第17篇:数电重点

《数电重点》:(红色标记的为重重点,以下例题不一定会考原题,只是解题方法的举例,大家熟

练掌握,考试题目不难,大家认真复习一定可以过的加油)

第一章【二.十.十六.8421BCD码之间的转换】;

第二章【逻辑代数法和卡罗图的化简】

第三章【只考简单CMOS逻辑电路关系判断】如P122的3.1.6

第四章【应用集成组合芯片设计实际电路】如P196的4.4.6 4.4.7 4.4.9

第五章【D JK触发器相关特性,很可能结合画时序图题型出现】

第六章【同步时序逻辑电路的分析和设计(异步不作要求)】

如P255的6.2.2和6.2.3

【应用集成计数器芯片设计实际电路】

如例题P296的例6.5.3P328的6.5.15

第七章【只考储存器的分类,特性和构成,以及储存容量的扩展】

第八章【8.1-8.3节只考三类脉冲波形产生电路基本概念,以填空和选

择题出现】

【555定时芯片组成实际应用电路的原理分析和相应计算,熟

记公式】

第九章【D/A和A/ D转换器基本概念和技术指标,填空和选择出现】

第18篇:数电心得体会

参考文献:数字电子技术(第二版),电子电工技术实验与实训教程(郭振民),电路及电子实验

心得体会

在这个星期,我们电子091的同学对专业课《数字电路技术》进行了为期几天的实训。我们专业课的老师在同学们进入实验室之前给我们讲了制作电子钟的的一些原理的框架图和要求。让我们在进入实验室之前绘制好草图,从而方便于实践操作。

在实践操作中,当看到那么多的集成块,当时真的很恐惧,但是必须硬着头皮把它做好。在做秒信号电路的接线时遇到了很多困难,不是接线没接好就是管脚接线错误。当时我和我同组同学因为接地的8号管脚错误,我们没有检查出来。拆了三次线也没检查到,我当时真的崩溃到想放弃,但是我没有放弃还是坚持了下来,老天不负苦心人。我们完成了秒信号电路的接线。接下来的分、时电路的接线做得还是比较顺利的,没有太多的故障。当看到自己做好的电路设计具有正确显示时间功能真的很开心。

由于时间的原因和一些个人原因,没有做好闹铃电路的接线,说实话真的很遗憾也很失落,但我们真的努力了,也许是自己平时没注意专业课的要点和基础知识的积累吧。对知识点掌握不牢才导致这样的后果的。所以,以后我一定会注重专业课的知识要点的。同时,这次实训也加深了我对74LS160、74LS

48、74LS1

53、74LS7

4、74LS00等集成块的进一步的了解。让我对这门课有更多的认识。

通过这次对数字钟的设计,让我受益非浅。首先深入的了解了设计电路的程序。同时加深了对芯片的了解及其应用。将书本上面学到的知识和实际应用相结合。通过这次学习,让我对各种电路都有了大概的了解,但是由于时间方面的原因,我们没有完全按照最初的要求严格来做,因而还有很多问题我们没有发现,也还有很多知识我们没有接触到。这对我们来说也是一个遗憾把。所以说,坐而言不如立而行,对于这些电路还是应该自己动手实际操作才会有深刻理解。 这次实训也再次让我看到理论与实践的差别和联系,理论固然重要,然而我们要在实践中发现错误并解决错误,也提高了自己的动手能力和实际解决问题的能力。无任最后结果是怎样,你参与了,你就肯定有收获。在这几天可以说是废寝忘食的实训中,我也收获了许多,我仍然记得将设计做出来的时候,那种喜悦的心情是难以形容的。

第19篇:数电课程设计

课 程 设 计 报 告

学 院 名 称

课 程 名 称

开课系(或教研室)

修(制)订日期

课程设计专用纸 成绩

课程名称 指导教师

院 (系) 专业班级

学生姓名 学号 设计日期

课程设计题目 流水灯的设计与制作

一、设计目的

1、学会将一个实际情况抽象为逻辑电路的逻辑状态的方法;

2、掌握技术、译码、显示综合电路的设计与调试方法;

3、掌握实际输出电路不同要求的实现方法。

二、设计要求

1、设计一个彩灯控制电路,能使彩灯依次点亮。

2、彩灯流速可以改变。

三、总体方案

本设计采取的方案是:用555多谐振荡器一个、74LS191一片、74LS138两片、灯泡、电容、电阻和导线若干。由555多谐振荡器提供稳定脉冲,74LS191同步十六进制加/减计数器一片用来计数和输出信号通过译码器74LS138直接输出控制彩灯。而控制流速用电阻来改变输入脉冲频率,进而改变彩灯流速,如图1所示

四、电路原理

设计流水灯控制电路,要求该电路循环频率快慢可调 ,控制器具有多路输出。电路是一个八路流水灯控制电路,彩灯有发光二极管模拟代替。该电路有555定时器,7490计数器和138译码器组成。7490计数器的时钟信号由555振

课程设计专用纸(附页)

荡电路提供,改变555的振荡频率,即可改变计数器的计数快慢,即可控制彩灯闪烁的快慢。计数器输入信号输入至138译码器,由138译码,根据计数器输出不同的计数结果,即可控制138译码器译码得到8种不同的输出信号,决定彩灯的循环变化。显然,不同的计数器与译码器电路,得到的是不同的彩灯循环控制结果。若译码器不变,在计数器的控制端输入不同的控制信号,进行不同的计数,则在输出端可见不同的彩灯循环输出。

本次项目中使用1片4位同步二进制计数器74LS191,其Q0,Q1,Q2脚输出三位二进制顺序脉冲000-001-010-011-100-101-110-111,时钟源为555定时器的输出方波。

与Q0,Q1,Q2相连接的是一片38译码器74LS138的A0,A1,A2引脚,Y0—Y7依次输出负脉冲。其是引脚输入脉冲为时钟源为555定时器的输出方波经一片74LS14反相器反相后的时钟脉冲,其74LS138真值表如下:

8个LED以共阳极接法分别接于Y0—Y7,依次点亮,其亮灭频率555定时器产生的时钟频率为准。

五、总电路

课程设计专用纸(附页)

六、引脚图

1、555定时器的引脚图

1脚:外接电源负端VSS或接地,一般情况下接地。 2脚:低触发端 3脚:输出端Vo 4脚:是直接清零端。当端接低电平,则时基电路不工作,此时不论、TH处于何电平,时基电路输出为“0”,该端不用时应接高电平。

5脚:VC为控制电压端。若此端外接电压,则可改变内部两个比较器的基准电压,当该端不用时,应将该端串入一只0.01μF电容接地,以防引入干扰 6脚:TH高触发端

7脚:放电端。该端与放电管集电极相连,用做定时器时电容的放电 外接电源负端VSS或接地,一般情况下接地。

8脚:外接电源VCC,双极型时基电路VCC的范围是4.5 ~ 16V,CMOS型时基电路VCC的范围为3 ~ 18V。一般用5V。

课程设计专用纸(附页)

2、74LS138的引脚图

74LS138是3/8线译码器,即对三位二进制数码进行译码,得到八个输出状态。ABC为译码信号输入端,Y0~Y7为译码输出端,低电平有效。

4、

5、

6、7均为附加控制端

3、74LS191的引脚图

课程设计专用纸(附页)

七、元器件介绍

1、555 的工作原理

它含有两个电压比较器,一个基本RS 触发器,一个放电开关T,比较器 的参考电压由三只5KΩ 的电阻器构成分压,它们分别使高电平比较器C1 同相比较端和低电平比较器 C2 的反相输入端的参考电平为2/3Vcc3 和1/3Vcc。C1 和 C2 的输出端 控制RS 触发器状态和放电管开关状态。当输入信号输入并超过2/3Vcc 时, 触发器复位,555 的输出端3脚输出 低电平,同时放电,开关管导通;当输入信号自2 脚输入并低于 1/3Vcc 时,触发器置位,555 的3 脚输出高电平,同时放电, 开关管截止。 D R 是复位端,当其为0 时,555输 出 低电平。平时该端开路或接V cc。V co是控制电压端(5 脚),平时输出2/3Vcc作为比较器 A1 的参考电平,当 5 脚外接一个输入电压,即改变了比较器的参考电平,从而实现对输出的另一种控 制,在不接外加电压时,通常接一个0.01 F 的电容器到地,起滤波作用,以消 除外来的干扰,以确保参考电平的稳定。 T 为放电管,当T 导通时,将给接于脚7 的电容器提供低阻放电电路。

课程设计专用纸(附页)

2、用555 电路构成施密特触发器

施密特触发器是数字系统中常用的电路之一,它可以把变化缓慢的脉冲波形变换成为 数字电路所需要的矩形脉冲。施密特电路的特点在于它也有两个稳定状态,但与一般触发器的区别在于这两个稳定 状态的转换需要外加触发信号,而且稳定状态的维持也要依赖于外加触发信号,因此它的触发方式是电平触发。 施密特触发器电路图和波形图如图 13-2-1 所示,其 回 差 电压为1/3Vcc 。若在电压控制 端⑤外接可调电压V co(1.5~5V),可以改变电压△特触发器可方便的地把三角波转换成方波。

当输入信号U﹤1/3Vcc时,基本RS 触发器置1,即Q =0,Q=1,输出 O U 为高电平;若U增 加,使得 1/3Vcc﹤ U ﹤2/3Vcc 时,电路维持原态不变,输出 U o仍为高电平;如果输入信号增加 到 U≥2/3Vcc 时,RS 触发器置 0,即 Q=0, Q =1,

施密

课程设计专用纸(附页)

输出 O U 为低电平;U再增加,只要满足 U≥2/3Vcc ,电路维持该状态不变。若U下降,只要满足 1/3Vcc﹤ U﹤2/3Vcc,电路状态仍然维持不变;只有当时,触发器再次置 1,电路又翻 转回输出为高电平的状态,工 作波形如图所示。

3、用555 电路构成多谐振荡器

① 电路组成:

② 工作原理:

③主要参数计算:

改变R

1、R 2和C 的值,就可以改变振荡器的频率。如果利用外接电路改变 O C 端 (5号端)的电位,则可以改变多谐振荡器高触发端的电平,从而改变振荡周期T。 在实际应用中,常常需要调节t1 和t2。

课程设计专用纸(附页)

4、74Ls191工作原理:

74LS191 为可预置的四位二进制加减法计数器,

1、其管脚图如图所示:

RCO 进位/借位输出端

MAX /MIN 进位/借位输出端 CTEN 计数控制端 QA-QD 计数输出端 U/D 计数控制端 CLK时钟输入端

LOAD 异步并行置入端(低电平有效)

74Ls191功能表

课程设计专用纸(附页)

电路原理 :

设计流水灯控制电路,要求该电路循环频率快慢可调 ,控制器具有多路输出。电路是一个八路流水灯控制电路,彩灯有发光二极管模拟代替。该电路有555定时器,7490计数器和138译码器组成。7490计数器的时钟信号由555振荡电路提供,改变555的振荡频率,即可改变计数器的计数快慢,即可控制彩灯闪烁的快慢。计数器输入信号输入至138译码器,由138译码,根据计数器输出不同的计数结果,即可控制138译码器译码得到8种不同的输出信号,决定彩灯的循环变化。显然,不同的计数器与译码器电路,得到的是不同的彩灯循环控制结果。若译码器不变,在计数器的控制端输入不同的控制信号,进行不同的计数,则在输出端可见不同的彩灯循环输出。

本次项目中使用1片4位同步二进制计数器74LS191,其Q0,Q1,Q2脚输出三位二进制顺序脉冲000-001-010-011-100-101-110-111,时钟源为555定时器的输出方波。

与Q0,Q1,Q2相连接的是一片38译码器74LS138的A0,A1,A2引脚,Y0—Y7依次输出负脉冲。其是引脚输入脉冲为时钟源为555定时器的输出方波经一片74LS14反相器反相后的时钟脉冲,其74LS138真值表如下:

8个LED以共阳极接法分别接于Y0—Y7,依次点亮,其亮灭频率555定时器产生的时钟频率为准。 课程设计专用纸(附页)

八、实验心得

这次课程设计增强了我的独立思考、解决问题和团结合作的能力,尤其是解决问题的能力,更让我充分认识到团队合作的重要性,只有分工协作才能保证整个项目的有条不絮,大大培养了我和同学间的相互合作精神。在这次设计过程中,体会到了学以致用、突出自己劳动成果的喜悦心情,从中发现自己平时学习的不足和薄弱环节,从而加以弥补。对所学的知识也有了比较全面的了解和应用,真正尝试到了理论联系实际的趣味。不仅巩固了以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。这也激发了我今后努力学习的兴趣,我想这将对我以后的学习产生积极的影响。

在设计过程中虽然遇到了一些问题,但经过一次又一次的思考,一遍又一遍的检查终于找出了原因所在,也暴露出了前期我在这方面的知识欠缺和经验不足。实践出真知,通过亲自动手制作,使我们掌握的知识不再是纸上谈兵。此次设计也让我明白了思路即出路,有什么不懂不明白的地方要及时请教或上网查询,只要认真钻研,动脑思考,动手实践,就没有弄不懂的知识,收获颇丰。在今后学习和实践过程中,一定要不懈努力,不能遇到问题就想到要退缩,一定要不厌其烦的发现问题所在,然后一一进行解决,只有这样,才能成功的做成想做的事,而不是知难而退,那样永远不可能收获成功,收获喜悦。

第20篇:数电心得

不知不觉中数字电路的学习已经接近尾声,回望过去一切都好像发生在昨天,刚刚还在本周进行了数电实验考试,不由得发出一句感慨:时间过的真的是蛮快的啊!

上学期学习了低频电子线路,这学期数字电子线路,确实两门课有一定的联系,也有一定的区别。数电:一般指通过数字逻辑和计算去分析、处理信号,数字逻辑电路的构成以及运用。由于数电可大规模集成,可进行复杂的数学运算,对温度、干扰、老化等参数不敏感,因此是今后的发展方向。学好了数电对我们今后的发展有很大的作用!

经过了半学期的数电学习是我学到了很多东西。我的收获非常大。首先是学习基础知识,学习最基本的门电路,以及时序电路等,老师教会了我们入门的知识,老师讲的非常细,每个地方几乎都提到了,实验也每次都讲得比较仔细,而且上课比较幽默风趣,上课气氛非常好,还常常为我们答疑,在班上转。老师真是一位负责尽职,真心为学生好的老师。

这次六周的学习,主要做了以下六个实验:

1、TTL门电路功能测试、

2、编码器和译码器、

3、数据选择器、

4、触发器功能测试、

5、计数器

6、寄存器。

实验中,我学到了数电实验箱等仪器的使用方法,也见到了理论课上学过的实际芯片,结合不同的电路图进行了实验。我也对74LS00、74LS0

4、74LS

32、74LS20、74LS1

38、74LS1

53、74LS7

4、74LS160等等芯片。当学过的理论知识付诸实践的时候,对理论本身会有更具体的了解,各种实验方法也为日后更复杂的实验打下了良好的基础。

在课程的刚刚学习上,由于自己的原因落下两个实验,所以刚开始感觉有点难,上课老师讲的细,我也很快最赶上了。老师教的往往很多书上都没有讲过,老师讲的往往是通过多年实践和探索总结出来的,书上的知识却是很古板的,或许不听课别的可以学好,但是数电肯定不会是这样子。

实验中应注意的有几点。

一、一定要先弄清楚原理,这样在做实验,才能做到心中有数,从而把实验做好做细。一开始,实验比较简单,可能会不注重此方面,但当实验到后期,需要思考和理解的东西增多,个人能力拓展的方面占一定比重时,如果还是没有很好的做好预习和远离学习工作,那么实验大部分会做的很不尽人意。

二、在养成习惯方面,一定要真正的做好实验前的准备工作,把预习报告真正的学习研究过,并进行初步的实验数据的估计和实验步骤的演练,这样才能在真正实验中手到擒来,做到了然于心。

在数字电子技术实验的过程中,我们也遇到了各种各样的问题,针对出现的问题我们会采取相应的措施去解决,比如:

1、线路不通——运用逻辑笔去检查导线是否可用;

2、芯片损坏——运用芯片检测仪器检测芯片是否正常可用以及它的类型。

我们也得到了不少经验教训:

1、当实验过程中若遇到问题,不要盲目的把导线全部拆掉,然后又重新连接一遍,这样不但浪费时间,而且也无法达到锻炼我们动手动脑能力的目的。此时,我们应该静下心来,冷静地分析问题的所在,有可能存在哪一环节,比如实验原理不正确,或是实验电路需要修正等等,只有这样我们的能力才能有所提高。

2、在实验过程中,要学会分工协作,不能一味的自己动手或是自己一点也不参与其中。

3、在实验过程中,要互相学习,学习优秀同学的方法和长处,同时也要学会虚心向指导老师请教,当然这要建立在自己独立思考过的基础上。

数字电子技术实验,有利于掌握知识体系与学习方法,有利于激发我们学习的主动性,增强自信心,有利于培养我们的创新钻研的能力,有利于书本知识技能的巩固和迁移。通过在数字电子技术实验中的实践,我收获了许多!

总结:大学的最终目的不是让我们去做一些诸如简单测量连接之类的东西,而是锻炼我们去探索、去发现、去学习的能力。以可能做的某项东西很简单或者没有做成功。但那并不是失败,因为你已经学习到了许多。耐心并且细心的去做每一步,坚持严谨的态度做到最后。每一个人都是成功者。

电路实验最后给我留下的是:严谨以及求实。能做好的事就要把它做到最好,把生活工作学习当成是在雕刻一件艺术品,真正把心投入其中,最终命运会为你证明你的努力不会白费。

数电实验报告范文
《数电实验报告范文.doc》
将本文的Word文档下载到电脑,方便编辑。
推荐度:
点击下载文档
相关专题
点击下载本文文档