人人范文网 教学工作总结

数字电路教学工作总结(精选多篇)

发布时间:2020-06-15 08:37:50 来源:教学工作总结 收藏本文 下载本文 手机版

推荐第1篇:数字电路教学大纲

《数字电路》教学大纲

一、课程基本信息

课程编号:124006 英文名称:Digital Circuit

授课对象:本课程为通信工程、电子信息工程、计算机科学与技术、自动化专业本科学生必修课。

开课学期:第4学期

学分/学时:3学分 / 周学时为3学时,总学时为51学时 与相关课程的衔接:本课程的前续课程为“电路分析基础”、“线性电子线路”,后续课程为“微机原理及接口电路”、“通信原理”。 教学方式: (1)课堂讲授、课后自学等形式。(2)小型,实用的综合数字电路设计(书面形式)。

考核方式: 本课程为考试课程,作业与平时测验占总成绩的30%,期末闭卷考试,占总成绩的70%

课程简介:本课程是通信、电子、计算机科学与技术、自动化专业的一门重要的技术基础课程。它涉及数字技术中的基本原理、基本分析和设计方法,具有很强的工程实践性。其任务是:使学生掌握数字逻辑电路的一般分析和设计方法,同时了解数字电路在实际应用中的典型参数与特点。

二、课程教学目的和要求:

本课程的教学目的是:通过本课程的学习,使学生能掌握数字电子技术的基础理论、基本分析方法和基本测量技能和基本电路设计方法,培养学生的逻辑思维能力和综合运用数字电路理论分析和解决实际问题的能力,组织和从事数字电子电路实验的初步技能。了解数字电子技术的发展与应用,拓宽知识面,为以后的学习、创新和科学研究工作打下扎实的理论和实践基础。

通过本课程的学习,应达到以下基本要求:

(1) 掌握逻辑代数运算的基本规则,逻辑函数的化简 (代数,卡诺图); (2) 掌握常用的组合逻辑部件及组合逻辑电路的设计方法; (3) 掌握常用的时序逻辑部件及时序逻辑电路的设计方法;

(4) 了解数字电路在实际应用中的特点,如TTL,CMOS,单稳态,多谐振荡器,施密特触发器,AD/DA转换器的典型参数与特点; (5) 可编程逻辑器件PLD的基本结构。

三、教学内容与学时分配:

1、第一章:逻辑代数基础(8学时) 第一节 概述

第二节 逻辑代数中的三种基本运算 第三节 逻辑代数的基本公式和常用公式 第四节 逻辑代数的基本定理 第五节 逻辑函数及其表示方法 第六节 逻辑函数的公式化简法 第七节 逻辑函数的卡诺图化简法

第八节 具有无关项的逻辑函数及其化简 重点内容:

一、数制与编码、逻辑代数的基本公式、常用公式和定理

二、逻辑函数的表示方法(真值表、逻辑式、逻辑图、波形图、卡诺图)及相互转换的方法

三、最小项和最大项的定义及其性质,逻辑函数的最小项之和和最大项之积的表示方法

四、逻辑函数的化简方法(公式化简法和卡诺图化简法)

五、无关项在化简逻辑函数中的应用

2、第二章:门电路(4学时) 第一节 概述

第二节 半导体和三极管的开关特性 第三节 最简单的与、或、非门电路 第四节 TTL门电路

第五节 其他类型的双极型数字集成电路 第六节 CMOS门电路

重点内容:晶体管TTL电路和MOS集成逻辑门电路

3、第三章:组合逻辑电路(10学时) 第一节 概述

第二节 组合逻辑电路的分析方法和设计方法 第三节 若干常用的组合逻辑电路 第四节 组合逻辑中的竞争与冒险现象

重点内容:组合电路的分析与设计和通用逻辑模块及其应用

4、第四章:触发器(4学时) 第一节 概述

第二节 触发器的电路结构与动作特点 第三节 触发器的逻辑功能及其描述方法 重点内容:

一、触发器的工作原理

二、触发器的不同电路结构及各自的动作特点

三、触发器的电路结构类型和逻辑功能类型之间的关系

5、第五章:时序逻辑电路(14学时) 第一节 概述

第二节 时序逻辑电路的分析方法 第三节 若干常用的时序逻辑电路 第四节 时序逻辑电路的设计方法 重点内容:

一、同步时序电路分析与设计、异步时序电路的分析

二、几种常见的中规模集成时序逻辑电路的逻辑功能和使用方法

6、第六章:脉冲波形的产生与整形(4学时) 第一节 概述

第二节 施密特触发器 第三节 单稳态触发器 第四节 多谐振荡器

第五节 555定时器及其应用 重点内容:

一、施密特触发器、单稳态触发器、多谐振荡器电路的工作原理

二、555定时器的应用(组成施密特触发器、单稳态触发器、多谐振荡器电路的接法,电路的定量计算)

7、第七章:半导体存储器(2学时) 第一节 概述

第二节 只读存储器(ROM) 第三节 随机存储器(RAM) 第四节 存储器容量的扩展

第五节 用存储器实现组合逻辑函数 重点内容:

一、存储器的分类、工作原理

二、存储器的扩展接法

三、用存储器设计组合逻辑电路的方法

8、第八章:可编程逻辑器件(2学时) 第一节 概述

第二节 可编程阵列逻辑(PLA) 第三节 通用阵列逻辑(GAL)

重点内容:PLD的分类及其各自的特点

9、第九章:数模和模数转换(3学时) 第一节 概述

第二节 D/A转换器 第三节 A/D转换器 重点内容:

一、权电阻型和倒T型D/A转换器的工作原理,输出电压的定量计算

二、A/D转换器的主要类型,基本工作原理,性能的比较

三、D/A和A/D转换器的转换精度和转换速度

四、作业、实践环节:

第一章的作业为数制与编码、逻辑代数基础及逻辑函数的简化;

第二章的作业为双极型三极管工作状态的计算、集成门电路的逻辑功能分析; 第三章的作业为组合电路的分析与设计和通用逻辑模块及其应用; 第四章的作业为触发器的应用及触发器之间的转换;

第五章的作业为同步时序电路分析与设计、异步时序电路的分析;

第六章的作业为施密特触发器的计算,单稳态电路的分析,多谐振荡器的分析计算,555定时器的应用;

第七章的作业为存储器的扩展接法、用存储器设计组合逻辑电路; 第八章的作业为分析PAL电路功能;

第九章的作业为A/D、D/A转换电路的基本原理和简单计算。

通过译码器、数据选择器、计数器等的验证性试验巩固所学过的理论知识,再通过小型综合设计试验培养学生对组合逻辑电路,时序逻辑电路的综合设计能力。实验由《电路与电子技术实验》和《EDA实验》课程单独开设。

五、教材:

阎石主编:《数字电子技术基础》(第四版),高等教育出版社,1998年

六、参考资料:

王毓银主编:《数字电路逻辑设计》,高等教育出版社,1999年 程震先,刘继华编:《数字设计电路与系统》 北京理工大学出版社出版1992年

推荐第2篇:数字电路课程设计

一、设计报告书的要求: 1.封面

2.课程设计任务书(题目,设计要求,技术指标等)

3.前言(发展现状、课程设计的意义、设计课题的作用等方面)。3.目录

4.课题设计(⑴ 写出你考虑该问题的基本设计思路,画出一个实现电路功能的大致框图。

⑵ 画出框图中的各部分电路,对各部分电路的工作原理应作出说明。 ⑶ 画出整个设计电路的原理电路图,并简要地说明电路的工作原理。 ⑷ 用protel画原理电路图。

(5)用Multisim或者Proteus画仿真图。

5.总图。

6.课题小结(设计的心得和调试的结果)。7.参考文献。

二、评分依据:

①设计思路,②单元电路正确与否,③整体电路是否完整,④电路原理说明是否基本正确,⑤报告是否清晰,⑥答辩过程中回答问题是否基本正确。

三、题目选择:(三人一组,自由组合)(设计要求,技术指标自己选择)

1、基于DC4011水箱水位自动控制器的设计与实现

水箱水位自动控制器,电路采用CD4011四与非门作为处理芯片。要求能够实现如下功能:水箱中的水位低于预定的水位时,自动启动水泵抽水;而当水箱中的水位达到预定的高水位时,使水泵停止抽水,始终保持水箱中有一定的水,既不会干,也不会溢,非常的实用而且方便。

2、基于CD4011声控、光控延时开关的设计与实现

要求电路以CD4011作为中心元件,结合外围电路,实现以下功能:在白天或光线较亮时,节电开关呈关闭状态,灯不亮;夜间或光线较暗时,节电开关呈预备工作状态,当有人经过该开关附近时,脚步声、说话声、拍手声等都能开启节电开关。灯亮后经过40秒左右的延时节电开关自动关闭,灯灭。

3、基于CD4011红外感应开关的设计与实现

在一些公共场所里,诸如自动干手机、自动取票机等,只要人手在机器前面一晃,机器便被启动,延时一段时间后自动关闭,使用起来非常方便。要求用CD4011设计有此功能的红外线感应开关。

4、基于CD4011红外线对射报警器的设计与实现

设计一款利用红外线进行布防的防盗报警系统,利用多谐振荡器作为红外线发射器的驱动电路,驱动红外发射管,向布防区内发射红外线,接收端利用专用的红外线接收器件对发射的红外线信号进行接收,经放大电路进行信号放大及整形,以CD4011作为逻辑处理器,控制报警电路及复位电路,电路中设有报警信号锁定功能,即使现场的入侵人员走开,报警电路也将一直报警,直到人为解除后方能取消报警。

5、基于CD4069无线音乐门铃的设计与实现

音乐门铃已为人们所熟知,在一些住宅楼中都装有音乐门铃,当有客人来访时,只要按下门铃按钮,就会发出“叮咚”的声音或是播放一首乐曲,然而在一些已装修好的室内,若是装上有线门铃,由于必须布线,从而破坏装修,让人感到非常麻烦。采用CD4069设计一款无线音乐门铃,发射按键与接收机间采用了无线方式传输信息。

6、基于时基电路555“叮咚”门铃的设计与实现

用NE555集成电路设计、制作一个“叮咚”门铃,使该装置能够发出音色比较动听的“叮咚”声。

7、基于CD4511数显八路抢答器的设计与实现

CD4511是一块含BCD-7段锁存、译码、驱动电路于一体的集成电路。设计一款基于CD4511八路抢答器,该电路包括抢答,编码,优先,锁存,数显和复位。

8、基于NE555+CD4017流水彩灯的设计与实现 以NE555和CD4017为核心,设计制作一个流水彩灯,使之通过调节电位器旋钮,可调整彩灯的流动速度。

9、水位指示的设计与实现

电路的功能是检测容器内的水位。把探头分别装在容器的底部、中部和顶部。通过3根导线与电路板连接,而3个LED分别代表不同的水位。

10、基于数字电路双向炫彩流水灯的设计与实现

电路由无稳态多谢振荡器、可逆计数器、三八线译码器和发光二极管组成;实现流水灯正反向循环旋转。

11、基于数字电路六位数字钟的设计与实现

设计一款纯数字电路打造的6位数字时钟。数字钟是采用数字电路对“时”、“分”、“秒”数字显示的计时装置。

12、八路声光报警器的设计与实现

八路声光报警器中八位优先编码器CD4532将输入D0~D7的八路开关量译成三位BCD码,经BCD锁存/七段译码/驱动器CD4511译码,驱动共阴极数码管显示警报电路0—7,路输入开关中的任一路开路,显示器即显示该路号,发出数码光报警;同时优先编码器CD4532的GS段输出高电平,使开关三极管饱和导通,启动声报警电路工作。声报警电路由时基集成电路NE555和六反相器CD4069组成。

13、基于CD4060梦幻灯的设计与实现(基于proteus仿真)

设计一款电路,使其具有多种美丽的声光效果,三种颜色的LED随机组合,五彩斑斓,配有生日快乐音乐芯片,闪光的同时有生日快乐音乐播放,蜂鸣器发生,音乐芯片直接可以装到电路板上,备有电源开关,方便控制,可以外接交流电源或电池。可作生日礼物相送。

14、变音警笛电路的设计与实现 设计一款电路,该电路采用两片NE555时基集成电路构成的变音警笛电路,能发出“呜-哇-呜-哇”的警笛声。

15、魔幻LED摇摇棒的设计与制作

“摇摇棒”是一种利用我们的“视觉暂留效应”工作的高科技电子玩具。接通电源后,它上面的一列LED(发光二极管)不停地闪烁,当你摇动它时,会看见空中梦幻般的浮现一个个笑脸、爱心等图案或者文字。 “摇摇棒”使用了一块单片机。通过编写程序,在它内部存储若干幅图形和文字,用一只轻触按钮来选择要显示的内容,并且使用一只动作传感器开关来确保显示正常。

16、基于数字电路NE5

55、CD4017LED骰子的设计与实现

由555组成的多谐振荡器和CD4017十进制计数器/脉冲分配器构成。7个发光二极管模拟骰子的点数,当按下按钮1秒以上,骰子上的发光二极管高速循环点亮,之后循环速度越来越慢并最终随机停止于某个点上。

17、数显计数器的设计与实现

不需要编程的计数器模块,有3个数码管显示,使用14553和14511芯片进行控制驱动。

18、基于555简易催眠器的设计与制作

时基电路555构成一个极低频振荡器,输出一个个短的脉冲,使扬声器发出类似雨滴的声音

19、基于数字电路电动机转速表的设计与实现

在电动机转动时,人眼无法统计电动机单位时间转转的圈数,即使电动机每秒钟只转动几圈,我们也无法准确的数数来得到电动机每分钟的转动圈数。设计一款电动机转速表来计数,最大可以显示999,如果需要显示更大的数字,还可以自行增加CD40110和数码管,每增加一级,计数可增大10倍再加上9。

20、基于CD4011路灯开关模拟电路的设计与实现

从节约用电的角度出发,路灯开关在每天傍晚时全部灯亮,后半夜行人稀少,路灯关掉一半,第二天清早路灯全部关闭。

21、数字秒表的设计与实现

单稳态触发器,时针发生器及计时器,译码显示单元电路的应用

22、基于CD4011声光控带灯头开关的设计与实现

开关选用CD4011集成块为延时电路,选用1A单向可控硅以及性能稳定的光敏电阻和优质的驻极体组成的声光控动作电路

23、基于数字电路两位计数器的设计与实现

两位自动计数器两位数码管自动显示0-99,数字可清零。电路主要由NE555,4518,4511实现。上电后,电路自动计数.由0增至99,不断循环计数.

24、数字频率计的设计与实现

电路通过时基电路NE555,十进制计数/译码器CD4017,六与非门CD4011,十进制计数/译码/锁存/驱动器CD40110以及两个共阴数码管实现被测信号频率测试。

25、基于数字电路自动温控报警电路的设计与实现

现实生活中,常常需要进行温度控制。当温度超出某一规定的上限值时,需要立即切断电源并报警。待恢复正常后设备继续运行。设计一款温度控制电路,电路采用LM324作比较器,NE555作振荡器,十进制计数/译码器CD4017以及锁存/译码/驱动电路CD4511作译码显示达到上述要求。

26、基于数字电路两位自动计数器的设计与实现

两位自动计数器两位数码管自动显示0-99,数字可清零。电路主要由NE555,4518,4511实现。上电后,电路自动计数.由0增至99,不断循环计数.数字上升速度快慢由NE555振荡频率决定.S1为计数清零按键.NE555构成时钟信号发生器,CD4518为二/十进制加法计数器,CD4511为译码驱动器,调节R17可调节NE555的振荡频率.C1为充放电电容,电容容量愈大,充电时间愈长,,则振荡频率愈低。

27、基于数字电路数字显示频率计电路的设计与实现

电路通过时基电路NE555,十进制计数/译码器CD4017,六与非门CD4011,十进制计数/译码/锁存/驱动器CD40110以及两个共阴数码管实现被测信号频率测试。

28、基于CD4017流水灯的设计与实现

CD4017流水灯由555组成的多谐振荡器和CD4017十进进制计数/译码电路组成。

29、基于CD4017六路回闪灯的设计与实现 电路通电后,六个发光管先依次点亮,再全部熄灭,然后反方向依次点亮,完成一个循环,接着进行下一个循环。电路由555组成的多谐振荡器和CD4017十进进制计数/译码电路组成。

30、基于CD4017摩托车闪灯的设计与实现

电路由多谐振荡电路和CD4017构成,实现三组发光管循环显示。

31、基于CD401712路回闪灯的设计与实现

电路由555组成的多谐振荡器和CD4017十进制计数/译码电路构成。

32、基于CD4518/4511数字钟的设计与实现

推荐第3篇:数字电路总结

电子技术基础—数字部分

合肥工业大学 电气学院

数字电路自从开课不知不觉已经一学期了,在这学期里我学会了很多,不仅仅是数字电路的基础知识,得到的更多的是那种学习的方法—坚持不懈,数字电路这门课程需要我们花费较多时间去理解和琢磨。我们现在处在现代电子技术发展的高峰期,每天我们大学生都无时无刻不与电视、广播、通信以及互联网各种多媒体有着深切的联系,而等等这些现代科技信息的存储、处理和传输又无一离不开我们学到的数字化知识。

学习数字电路首先要将什么事数制、二进制数的算术运算以及二进制码和数字逻辑运算等知识弄清楚,这些是学好、学精数字电路的前提,学习数字电路的过程是比较辛苦的,对于我自己来说,基本上每天晚上都会花上一个多小时去看课本上习题,去做课后习题,而且如果第二天又数电课,我还要对第二天要上的内容进行预习,以便课上时能跟上老师的节奏,长时间的数电学习,让我养成了良好的学习习惯,虽然有时老师上课讲的东西,我当时没有及时的消化理解,可是课后我会马上请教那些懂的同学,自己不懂得知识点也就很快得到了解决,感觉很好。在学习数字电路知识时,有些人告诉我,数电学的没用,像这些知识到时根本用不着,可是我不以为意,我认为要想在以后的工作中能够稳定的工作,扎实的专业课知识是必不可少的,现代大学生就业形势严峻,怎样才能在众多大学生脱颖而出,这是我们必须考虑到的问题,所以我们学习好自己的专业课知识对我们来说是相当的重要了,作为一名电子系的学生,我认为自己将来的工作前景还是比较不错的,对于自己来说,我们不仅可以去供电,电厂,超高压局,电力设计院,电建公司,调度局等地方,当然我个人认为这是通信工程专业毕业生的首选,像我们大三时选择自动化专业的话,我们就业面就比较广,电气工程师、产品研发师等等,所以我们学好专业课那就非常的重要了,像数电一类的专业基础课对于我们后期大量专业课的学习可以说是起着相当重要的作用。另外数电的学习对于一些准备考研的学生来讲,也非常的重要,很多学校就要求考三电,其中就包括数字电路,所以我们有必要也必须将这门课程学好。

有的时候,在学习这门课程过程中许多人都感到力不从心,确实,学习知识的过程是非常枯燥和乏味的,但是如果我们将学习作为一种乐趣,我们不是为了学习而学习,而是将学习当做我们不断学习不断进步的一种娱乐方式,那样我们才能将自己学到的东西充分的吸收并加以升华。而且平时老师讲解的比较详细,内容又比较透,这时候我们更应该好好珍惜有老师讲解的机会,万不可走自己课下看书,上课又不听老师的课程教学的这条路,这样不仅效果不好而且还会大大降低自己对课本知识的理解。

总的说来,数电学习较其它科目来讲相对难了一点, 但是我们既然是学电子的,数电必须学好,只有将数电一类的专业基础,学好,我们才能在以后的工作岗位上如鱼得水。这一学期,我收获很多,这一切还是要感谢胡老师的谆谆教导,最后我想说一句:胡老师,谢谢您!

推荐第4篇:数字电路业务

数字电路业务

——带宽,由您决定!

——数字信息传送,带您步入全新信息时代!

数字电路业务,一种直接在传输网上进行数字信号传送的业务。可为大中型机构用户提供 2Mbps至

2.5Gbps各种传输速率的全透明电路,为客户提供高效的异地间信息传送通路。客户可根据实际需求,预定带宽,电信公司按照客户的要求,为客户建立所需带宽的电路连接。

【适用范围】

数字电路适用于高速率、信息量大、实时性强的信息传送,可广泛用于银行、证券、教育、互联网运营商等需要高速数据传送的行业,也适用于任何局域网之间的高速互联,以及会议电视、远程教育、远程医疗等实时性强的话音多媒体的传送。

【产品功能】

□为用户提供端到端的全透明高速数字信号传送服务。

□使用国际通用的 G.70

3、STM-1等标准接口。

□通信速率可根据需要在 2Mbit/s、8Mbit/s、34Mbit/s、45Mbit/s、100Mbit/s、155Mbit/s、622Mbit/s、2.5Gbit/s等速率进行选择。

□数字电路适用于速率高、信息量大、实时性强的业务传送。

【产品特点】

□基于物理层的全透明传输,支持数据、语音、图像多种业务,对客户通信协议没有任何要求,客户可自由选择各种网络设备及协议。

□传输效率高、抗干扰能力强、质量好、网络时延小。

□骨干传输网络具有电路交叉连接功能,可进行灵活的电路调配。

□拥有完善网络管理监控性能和各种网络保护机制,具有很高的安全可靠性。

□带宽独享、传输效率高、抗干扰能力强、保密性能好。

推荐第5篇:数字电路心得体会

数字电路学习心得体会

系别:电子信息与电气工程系 姓名:老吴与老男 学号:

不知不觉中数字电路已经接近尾声,大二的生活也要告一段落。回望过去一切都好像发生在昨天,刚刚还在学模电而现在数电都快要结束了,不由得发出一句感慨:时间过的真的是蛮快的啊!学过了数电和模电发现:模拟电子电路实际是相对数字电子电路而言。模电:一般指频率在百兆赫兹以下,电压在数十伏以内的模拟信号以及对此信号的分析、处理及相关器件的运用。百兆赫兹以上的信号属于高频电子电路范畴。百伏以上的信号属于强电或高压电范畴。数电:一般指通过数字逻辑和计算去分析、处理信号,数字逻辑电路的构成以及运用。由于数电可大规模集成,可进行复杂的数学运算,对温度、干扰、老化等参数不敏感,因此是今后的发展方向。学好了数电对我们今后的发展有很大的作用! 我们学的这本教材总结了近几年来的教学实践经验,加强了基础理论,如加强了半导体的物理基础和电路的基本分析方法;同时也注意吸取国内外的先进技术,如加强了线性集成电路和数字集成电路(包括中、大规模集成电路)的原理和应用,新增了电子电路的计算机辅助分析等内容)。在内容的安排上,注意贯彻从实际出发,由深入浅、由特殊到一般、从感性上升到理性等原则。这些我们可以从目录上明显的看出:1 数字逻辑概论2 逻辑代数与硬件描述语言基础3 逻辑门电路4 组合逻辑电路5 锁存器和触发器6 时序逻辑电路7 存储器,复杂可编程器件和现场可编程门阵列8 脉冲波形的变换与产生9 数模与模数转换器*10 数字系统设计基础。在学数字电路中给我最深印象的应该就是:555定时器及其应用,因为电子设计大赛中我们制作的是多功能数字钟,555定时器是一种集模拟、数字于一体的中规模集成电路,其应用极为广泛。谐振荡器、单稳态触发器及施密特触发器等脉冲产生与变换电路。它也常作为定时器广泛应用于仪器仪表、家用电器、电子测量及自动控制等方面。555 定时器的内部电路框图和外引脚排列图分别如图 2.9.1 和图 2.9.2 所示。它内部包括两个电压比较器,三个等值串联电阻,一个 RS 触发器,一个放电管 T 及功率输出级。它提供两个基准电压VCC /3 和 2VCC /3 555 定时器的功能主要由两个比较器决定。两个比较器的输出电压控制 RS 触发器和放电管的状态。在电源与地之间加上电压,当 5 脚悬空时,则电压比较器 C1 的同相输入端的电压为 2VCC /3,C2 的反相输入端的电压为VCC /3。若触发输入端 TR 的电压小于VCC /3,则比较器 C2 的输出为 0,可使 RS 触发器置 1,使输出端 OUT=1。如果阈值输入端 TH 的电压大于 2VCC/3,同时 TR 端的电压大于VCC /3,则 C1 的输出为 0,C2 的输出为 1,可将 RS 触发器置 0,使输出为 0 电平。

其实数字电路在我们生活中有很大的作用,在人们的日常生活中,常用的计算机、电视机、音响系统、视频记录设备、长途电信等电子设备或电子系统,无一不采用数字电路或数字系统数字电子技术的应用越来越广泛,学好了数电对我们电子系的学生来说有着很大的作用!

感谢老师对我们的谆谆教导,我们一定会继续努力,创造一份属于自己的数字天空!

推荐第6篇:数字电路课程设计

数字电路课程设计要求:

1.结合所学知识设计一简单实用电路(建议选多功能数字钟),并在实验室里完成实物电路的连接调试。

2.每人独立完成一篇课程论文,论文至少2000字,可手写,也可打印(打印稿的格式另附)。

3.要求写出设计背景,理论基础,设计思路,设计过程,调试过程,仿真过程(可选),最终电路等。

4.总结所设计电路的优点,缺点,改进方向。

5.严禁抄袭,所有雷同论文均以0分计。

6.选多功能数字钟的同学在数字电路实验室完成实验。选其它题目的同学所需软硬件资源请自行解决。

推荐第7篇:数字电路实验报告

组合逻辑电路的设计与调试

一、实验目的

1、掌握用门电路设计组合逻辑电路的方法。

2、掌握组合逻辑电路的调试方法。

二、实验器材

数字电路实验箱一台、74LS00若干

三、实验内容

1、用与非门实现散人多数表决器电路

(1) 真值表

(2) 表达式化简及变形

(3) 逻辑图

2、用与非门实现YAB

(1)真值表

(2)表达式化简及变形

(3)逻辑图

译码器应用电路的设计与测试

一、实验目的

1、熟悉集成译码器的性能和使用方法

2、学会使用二进制译码器实现组合逻辑电路的方法

二、实验器材

数字电路实验箱一台、74LS138一片、74LS20一片

三、实验内容

1、用74LS138及74LS20实现三人多数表决器电路

(1)真值表

(2)表达式转换

(3)逻辑图

2、用74LS138及74LS20实现YAB

(1)表达式转换

(2)逻辑图

数据选择器的设计与调试

一、实验目的

1、熟悉数据选择器的性能及使用方法

2、学会使用数据选择器进行逻辑设计的方法

二、实验器材

数字电路实验箱一台、74LS151一片

三、实验内容

1、用74LS151实现三人多数表决器

(1)真值表

(2)比较卡诺图求出Ai及Di

(3)逻辑图

2、用74LS151实现YABBCAC

(1)比较卡诺图求出Ai及Di

(2)逻辑图

N进制计数器的设计与测试

一、实验目的

1、掌握集成技术器的测试方法

2、学会利用集成技术器构成N进制计数器

二、实验器材

数字电路实验箱一台、74LS161一片、74LS20一片

三、实验内容

1、用74LS161设计七进制计数器。

方法一:清零(0-6)

(1) 逻辑图

(2) 状态转换图

方法二:置数(1-7)

(1)逻辑图

(2)状态转换图

方法三:置数(9-15)(CO做反馈)

(1)逻辑图

(2)状态转换图

推荐第8篇:数字电路学习方法

数字电子技术基础学习方法

数字电子技术基础’’课程总体上分为以下几部分:

一是数字电路的基本单元电路:门电路和触发器。 二是数字电路的分析与设计工具:逻辑代数。

三是组合电路或时序电路的分析与设计。

四是各种典型电路集成器件的结构、性能和工作原理。五是存储器和可编程逻辑器件。 根据“数字电子技术基础”课程的特点,在学习过程中应注意以下几点: 1,注重掌握基本概念、基本原理、基本分析和设计方法

数字电子技术发展很快,各种用途的电路千变万化,但它们具有共同的特点,所包含的基本原理和基本分析和设计方法是相通的。我们要学习的不是各种电路的简单罗列,不是死记硬背各种电路,而是要掌握它们的基本概念、基本原理、基本分析与设计方法。只有这样才能对给出的任何一种电路进行分析,或者根据要求设计出满足实际需要的数字电路。 2,抓重点,注重掌握功能部件的外特性

数字集成电路的种类很多,各种电路的内部结构及内部工作过程千差万别,特别是大规模集成电路的内部结构更为复杂。学习这些电路时,不可能也没有必要一一记住它们,主要是了解电路结构特点及工作原理,重点掌握它们的外部特性(主要是输入和输出之间的逻辑功能)和使用方法,并能在此基础上正确地利用各类电路完成满足实际需要的逻辑设计o 3,注意归纳总结

数字集成电路的应用广泛,学好数字电子技术课程需要掌握一些典型电路,因为这些典型电路是构成数字系统的部件。掌握它们包括了解它们的功能、结构特点及应用背景,并注意总结归纳,掌握其本质。例如,译码器和数据选择器都可以实现逻辑函数,但两者的区别是,一个n位二进制输入端的译码器,只能用于产生变量数不大于n的组合逻辑函数,它可以附加门电路,实现多个输出的组合逻辑电路二一个n个地址输人端的数据选择器,可以实现变量数为n+1的逻辑函数。由于数据选择器只有一个输出端,所以只能实现单个输出的逻辑函数。 4,注意理论联系实际 电子技术基础课程学习的最终落脚点是对实际电路的分析和设计。经过理论分析和计算得到

的设计结果还必须搭建实际电路进行测试,以检验是否满足设计要求。由于电子器件的电气特性具有分散性,理论设计出的电路在实际中也会出现意想不到的现象。例如用实验验证计数器74161和一些门构成的六十进制计数译码显示电路。一些同学的理论设计和线路连接均没有问题,但实验中出现了由竞争冒险产生的错误计数,此时只要在反馈门的输出端与地之间接一个小电容。即可消除竞争冒险。 5.注意新技术的学习

电子技术的发展是以电子器件的发展为基础的,新的器件层出不穷,旧的器件随时被淘汰。因此教材中出现的集成电路芯片有可能已不生产,要用发展的观点使用教材。

可编程器件的迅速发展使数字电路或系统的实现更灵活,可靠性高,功耗低,体积小。可编程器件的使用离不开eda软件。 eda已成为从事电子电路设计人员必须掌握的技术,也是培养学生分析解决问题的能力和创新能力的一个重要环节。篇二:如何才能学好数字电子技术

如何才能学好《数字电子技术基础》

《数字电子技术基础》是电子技术基础知识的数字电路部分。是十分重要的基础课程。

数字电子技术基础是理工科专业的必修课程。特别是电子信息、计算机、自动控制专业等等,必须认真学好这门课程,才能学好以后的专业基础课程。如计算机硬件、单片机、接口技术、电子电路仿真技术、protel教程等等。所以对于立志成为优秀电子电气工程师的同学,应当刻苦努力学习,付出辛勤的汗水才能真正掌握这门基础课。如何才能学好这门课程?下面谈谈自己的一些看法。

一.数字电路与模拟电路的不同的特点

在模拟电路中处理的是模拟信号,模拟信号在时间和数值上均具有连续性。即对应于任意时间值t, 模拟信号均有确定的函数值u(t)和i(t)与之对应并且u(t)和i(t)的幅值是连续取值的.,例如正弦波信号就是典型的模拟信号,如图0.0.1(a)所示。 在数字电路中处理的是数字信号。与模拟信号不同,数字信号在时间和数值上具有离散性。u(t)和i(t)在时间上不连续,总是发生在离散的瞬间,而且它们的数值是一个最小量值的整数倍,并以此倍数作为数字信号的数值。如图0.0.1(b)所示。

大多数的物理量所转换的信号均为模拟信号,在信号处理时可以通过电子电路将模拟信号和数字信号互相转化。

由于模拟信号和数字信号不同的特点,所以模拟电路和数字电路处理方法不同。不应将学习模拟信号的方法套用于数字电路的学习。

二.学好数字电路的最基本的基础知识

三.应注意多实践 1.学习中除了认真领会基本知识,认真搞清楚各种电路的基本原理及特点外,还要认真实践。

(1) 做好数字电路的各个实验。注意各个实验电路的逻辑关系。电路波形等等

(2) 多做习题、练习题。提高自己解题的能力。例如:逻辑函数的公式法和卡

诺图化简方法。画逻辑电路图、画波形图、画时序电路图等等。

(3) 可以自己制作一些简单的数字电路。如电子钟、声、光报警电路、简单的

计数电路等等。以便进一步掌握数字电路的基础知识。

我相信:只要努力学习,认真实践就一定可以学好数字电子技术基础这门课程。

祝朋友们成功学好数字电子技术基础知识。篇三:学习数字电路之心得体会

学习数字电路之心得体会

不知不觉中,本学期数字电路的学习就要结束了,现在回想一下,到底学了哪些东西呢?如果不看书的话,真有点记不住学习内容的先后顺序了,看了目录以后,就明白到底学了什么东西了,最开始学的内容还比较简单,而后面的内容就学得糊里糊涂了,似懂非懂,按老师的说法,就是前面的东西只有十几度的水温,而到了后面,温度就骤升了,需要花更多的时间。

其实吧,总的来说,学习的思路还是很清楚的,最开始学的是数制与码制,特别是二进制的一些东西,主要是为后面的学习打基础,因为对于数字电路来说,输入就是0和1,输出也是这样,可以说,明白二进制是后面学习最基础的要求。到第二章,又学了一些逻辑代数方面的基本知识,首先就有很多的逻辑代数的公式,然后就是逻辑函数了,我感觉这里的函数和原来学的其实都差不多,只不过这里是逻辑函数,每一个变量的取值只有0和1罢了,然后就是用不同的方式来表达逻辑函数,学了很多方法,有逻辑图,波形图等等,过后又学了逻辑函数的两种标准形式—最小项之和和最大项之积,还有逻辑函数的化简方法,之后还有一些无关项和任意项的知识。总而言之,前两章的内容还是比较简单的,都是一些基础的东西,没有多大的难度,学习起来也相对轻松。

第三章老师没有讲,是关于门电路的知识,我认为还是比较重要的,因为数字电路的构成就是一系列的门电路的组合,以此来完成一定的功能。第四章讲的是组合电路,说白了,就是组合门电路来实现

特定的功能,其最大的特点就是此时的输出只与此时的输入有关,并且电路中不含记忆原件。首先,学习组合电路,我们要知道如何去分析,确定输入与输出,写出各输出的逻辑表达式并且化简,然后就可以列出真值表了,那么,这个电路的功能也就一目了然了,而关于组合电路的设计,其实就是组合电路分析方法的逆运算,设计思路很简单,只要按着步骤来,一般没什么问题,在数电实验课上,就有组合逻辑电路的设计,需要我们自己去设计一些具有特定功能的组合电路,还是挺有趣的。过后还学了一些常用的组合逻辑电路,比如编码器,译码器,数据选择器,加法器等等,我感觉这些电路都挺复杂的,分析起来都很麻烦,更别说设计了,我要做的就是明白它的工作原理,知道它的设计思想就行了。最后了解了一下组合逻辑电路中存在的竞争冒险现象。

我觉得第五章和第六章是比较难的,第五章讲的是触发器,就是一种具有记忆功能的电路,我感觉这一章是学得比较乱的,首先,触发器的种类有点多,有sr锁存器,d触发器,jk触发器,每种触发器有不同的功能,其次,触发器还有不同的触发方式,很容易弄混淆,总之,第五章的话,我还需要多花时间才行。第六章是时序逻辑电路,就是将前面的组合逻辑电路和触发器弄在一起,形成一种输出不仅取决于当前输入,还与以前的输入有关的电路,同组合电路一样,时序电路也有其分析方法,只不过相对于组合逻辑电路,时序逻辑电路的分析更难一些,不仅有输出方程,还有驱动方程和特性方程,还要将得到的驱动方程带入到相应触发器的特性方程,得到状态方程,

然后通过状态转换表或状态转换图等等的形式表达出来。接着讲了寄存器和计数器这两种时序逻辑电路,同样是比较麻烦的,。最后是时序逻辑电路的设计,这个好像非常麻烦,想要学好,我还需要多看书才行,我觉得时序逻辑电路是非常有用的,可以实现很多功能,一定要学好才行。

学了数电过后,我感触最深的就是通过它可以实现功能的特点,以前都不知道通过电路实现特定功能,学了数电之后才找到一种方法来实现一些功能,这对以后我们的电子设计是很有好处的,并且的话,数电的设计思想对我们写程序也是至关重要的,只有知道设计思想,才能写出程序,因此,应该把学好,打好以后学习的基础。 2011级电信二班 222011315220061 刘兴建篇四:数字电路学习要点

数字电路学习要点

1、数字电路基础

要知道:数字信号中的1和0所表示的广泛含义,十进制数二进制数十六进制数的表示方法和相互之间的转换方法;8421bcd码的表示方法及其与十进制数的转换方法,逻辑函数逻辑变量逻辑状态的含义,与或非所表示的逻辑事件逻辑函数真值表的含义及表示规律和方法。

会写出:逻辑与、或、非、与非、或非、与或非、异或、同或等的逻辑表达式,真值表、逻辑符号及其规律;逻辑函数式、真值表及其逻辑图三者之间的转化,负逻辑符号的逻辑式。

会使用:逻辑代数化简逻辑函数式;最小项及其编号表示逻辑函数式,卡诺图化简逻辑函数式。

2、集成逻辑门电路

要知道:逻辑电路高电平低电平与正负逻辑状态的关系。cmos反相器阈值电压uth的含义与所表示的性能。逻辑符号控制端符号上非号、小圆圈含义及其门电路上小圆圈符号含义的区别。三态门使能控制的作用及输出高阻的含义。

会画出:od门oc门传输门三态门的逻辑符号。与门、或门、非门、与非门、或非门输入波形所对应的输出波形。

会使用:oc门od门传输门三态门的功能。

会处理:cmos集成逻辑电路的存放和焊接的措施,各种门电路空余的输入端,各种门电路系列间的接口。

3、组合逻辑电路

要知道:组合逻辑电路的特点,组合逻辑电路的分析步骤和设计步骤,编码器译码器数据分配器和数据选择器的含义。

会分析:用逻辑函数化简表达式、真值表描述的组合逻辑电路的逻辑功能。

会设计:根据逻辑事件设定输入和输出变量及其逻辑状态的含义,根据因果关系列出真值表,写出逻辑函数式并进行化简后的逻辑图。 会使用:用功能表表示的各种中规模集成器件的编码器、优先编码器、译码器、数码显示七段译码管、数据选择器的引脚功能。

4、集成触发器

要知道:触发器的工作特点、基本rs触发器功能、同步触发器特点、脉冲边沿触发器工作的特点,t和t’触发器的功能。

会画出:与非门、或非门组成基本rs触发器的电路及逻辑符号图,上升边沿触发的d触发器、下边沿触发的jk触发器和逻辑符号图及其输出波形图,用jk和d触发器构成t’触发器的连线图。

会写出:rs触发器,d触发器,jk触发器的状态方程式。

会背出:jk触发器的输出q的状态在cp下降沿作用下与输入jk状态下的关系。 会使用:集成触发器的直接置位,复位端sd、rd的状态在各种情况下的设置方法。

5、时序逻辑电路

要知道:时序逻辑电路的工作特点、同步时序逻辑电路的分析方法,

寄存器和移位存储器及计数器的功能,同步和异步的含义。

会使用:由功能表所反映的双向移位寄存器、各种类型各种型号中规模集成设计器引脚功能、异步和同步清零或置数。

会画出:用反馈清零、反馈置数方法在异步或同步情况下的n进制计数器电路连线。

6、脉冲电路

要知道:微分积分电路功能;555定时器各引脚功能、阈值输入端及输出端电压的逻辑规律;单稳态触发器、多谐振荡器和施密特触发器三种电路的基本功能。

会选用:实现脉宽定时,延时控制脉冲,脉宽调制、波形变换、整形、声响电源、时钟脉冲、标准时基脉冲信号等功能的电路结构类型。 会识别:各类结构单稳态触发器对输入触发脉宽的要求和有效触发的沿口类型。

会画出:施密特触发器的波形变换或整形的输出波形。

会计算:各类结构触发器的输出脉宽、各类结构多谐振荡器的振荡频率。

7、半导体存储器

要知道:只读存储器(rom)和随机存储器(ram)的逻辑功能和两者性能的区别,存储器地址译码器的功能,地址输入线与字线w下标i数值的关系,字线位线存储单元的区别。prom的三种类型及其工作性能的区别,ram中两类存储单元结构的区别。

会计算:半导体存储器的存储容量。

会画出:ram存储容量字扩展和位扩展的电路连线。

8、数/模和模/数转换器

要知道:数/模和模/数转换器的功能、r~2r倒t形电阻网路dac输入数字量与输出电压关系式;数模转换器的采样保持量化和编码含义、v~t型双积分式和逐次逼近型两种ad转换器的基本工作原理和特点。

会计算:用电压值表示不同位数的adc或dac的分辨率和允许最大误差。

9、数字电路与模拟电路学习中的区别

数字电路所需的先修课程是电路分析基础和模拟电路,后续课程是微机原理、微型计算机、接口技术等。 【1】数字电路中所有变量都归结为0和1两个对立状态。通常,只

需关心信号的有或无,电平的高或低,开关的通或断,而不必理会某个变量的详细数值。比如电平幅值的微小变化就可能毫无意义。

【2】数字电路的研究方法以逻辑代数为基础,研究输入与输出变量

之间的逻辑关系,并建立了一套逻辑函数运算化简方法。

【3】电路结构不是学习目的,目的是掌握电路功能。篇五:数字电路学习感想

数字电路学习感想

姓名:xxx 学号:xxxxxxxxxxxx 现在已经是第十二周了,离数字电路课程结课也只剩下一周时间了。时间真的过的好快。回想过去,感觉昨天才踏进西大校园,而下周就要上完,紧接着就是不知道什么时候就来的考试,哎!感觉挺纠结的,只是还没学会,马上就要考试了。

接下来就简单谈谈自己对数字电路课程的感受吧。 第一章, 数制与码制 只是一些常用的基础知识。其实好多码制我们也不

用去可以的去记,只用知道它是怎么回事就行,用到的时候查一

下就行了。主要就熟练地掌握反码补码的求法以及

2、

10、16进

制数之间的快速转化就差不多了。

第二章, 逻辑代数基础 我觉得代入、反演、对偶定理都挺重要的,还有

就是逻辑表达式的常用化简公式以及卡诺图法化简逻辑函数。个

人觉得卡诺图是最好用的(可能是老记不住那几个公式吧),所以

一定要熟练掌握卡诺图的使用方法。总之第二章是基础,是以后

几章学习的工具。

第三章,

第四章, 主要介绍了mos管 这里就不做详尽的探讨了。 组合逻辑电路 到这一章,我们才真正的接触到电路,以前的都 是铺垫罢了。主要掌握几种常见的组合逻辑电路:编码器、译码

器、数据选择器、加法器、数值比较器等。这些都是常见常用的

电路,所以如果我们现在没记牢,下去一定要多看几遍,争取把

这几个电路弄懂弄通,不然感觉以后更深层次的电路设计我们就

更无从下手了。

第五章, 触发器 这章也是重点,我们要在认识sr、jk、t、d触发器的 基础上熟练掌握各种电路结构触发器所具有的动作特点,以及触

发器的逻辑功能分类和描述方法。

第六章, 时序逻辑电路 时序是区别组合的。这里对clock的引入又加

深的它的难度,所以我们要特别注意,看清电路的出发方式。设

计电路时更要选择合适的触发器。差不多就这么多了。

推荐第9篇:数字电路教学大纲

《数字电路》教学大纲

一、课程基中、信息

1、课程中文名称:数字电路

2、课程类别:必修

3、适用专业:教育学教育技术 4课程地位:基础课

5、总学时数:72学时(其中理论课60学时,实验课12学时)

6、总学分:4学分

7、先修课程:电路分析

模拟电路

二、课程目标

《数字电路》是教育技术专业一门主要的基础课,通过本课程的学生,使学生掌握数字电子技术的基本概念,基本原理和基本的分析、设计方法。熟悉典型基本单元电路的组成及工作原理。学会对数字电路系统的读图。

三、课程内容

第一章

数字逻辑基础(3学时)

[教学目的与要求]

1、掌握数字信号、数字逻辑的基本概念

2、掌握数字电路的特点

3、了解数制的特点

第一节 模拟信号和数字信号

1.1.1 模拟信号和数字信号比较 1.1.2 数字信号的表示方法

第二节

数字电路

1.2.1 数字电路的发展与分类 1.2.2 数字电路的分析方法

第三节 数制 1.3.1 十进制和二进制 1.3.2 十一进制之间的转换 1.3.3 十六进制和八进制

第四节 二进制码 第五节 基本逻辑运算 第六节 逻辑函数逻辑问题的描述

第二章 逻辑的电路(19学时)

[教学目的与要求]

1、熟悉二极管、三极管的开关特性

2、掌握通用门电路的逻辑功能和特性

第一节

二极管开关特性 第二节

三极管的开关特性

2.2.1 三极管的开关特性 2.2.2 三极管的开关时间

第三节

基本逻辑的电路

2.3.1 二极管与门及或电路 2.3.2 电路

第四节 TTL逻辑的电路

2.4.1 TTL反相器

2.4.2 TTL反相器的传输、特性 2.4.3 TTL与门电路

2.4.4 TTL与外门的技术参数

2.4.5 TTL或外门、集电极开路门和三态门电路 2.4.6 改进型TTL门电路—抗饱和TTL电路

*第五节

CMOS逻辑门电路

2.5.1 CMOS 反相 2.5.2 CMOS门电路 2.5.3 BicMos门电路

第六节 正负逻辑问题

第七节 逻辑的电路使用中的几个实际问题

2.7.1 各种门电路之间的接口问题 2.7.2 门电路带负载时的接口电路 2.7.3 抗干扰措施

第八节 CAD例题

第三章

组合逻辑电路的分析与设计(6学时)

[教学目的与要求]

1、掌握逻辑函数的化简方法

2、掌握分析和设计组合逻辑电路的方法

第一节

逻辑代数

3.1.1 逻辑代数的基本定律和恒等式 3.1.2 逻辑代数的基本规则 3.1.3 逻辑函数变换化与简法

第二节 逻辑函数的卡借图化简法

3.2.1 最小项的定义及性质 3.2.2 逻辑函数的最小项表达式 3.2.3 用卡诺图化简逻辑函数

第三节

组合逻辑电路的分析 第四节

组合逻辑电路的设计

*第五节 组合逻辑电路中的竞争冒险

3.5.1 竞争冒险的概念及产生方法原因 3.5.2 消除竞争冒险的方法

*第六节 CAD例题

第四章 常用组合逻辑功能器件(6学时)

[教学目的与要求]:

掌握常用组合逻辑功能器件的结构、功能及应用

第一节 编码器

4.1.1 编码器定义与功能 4.1.2 集成电路编码器

第二节 编码器和数据分配器

4.2.1 译码器的定义及功能 4.2.2 集成电路译码器 4.2.3 数据分配器

第三节 数据选择器

4.3.1 数据选择器的定义及功能 4.3.2 集成电路数据选择器

第四节 数值比较器

4.4.1 数值比较器的定义及功能 *4.4.2 集成数值比较器

第五节 算术运算电路

4.5.1 半加器和全加器 *4.5.2 多位数加法器

*第六节 CAD例题

第五章

触发器(6学时)

[教学目的与要求]

1、掌握触发器的电路结构与工作原理

2、掌握触发器的功能及应用

第一节

触发器的电路结构与工作原理

5.1.1 基本RS触发器 5.1.2 同步RS触发器 5.1.3 主从触发器 5.1.4 边沿触发器

第二节

触发器的功能

5.2.1 RS触发器 5.2.2 JK触发器 5.2.3 J触发器 5.2.4 D触发器

*第三节

触发器的工作特性及主要参数

5.3.1 触发器的工作特性及主要参数 5.3.2 触发器的主要参数

*第四节 CAD例题

第六章

时序逻辑电路的分析和设计(8学时)

[教学目的与要求]:

掌握时序逻辑电路的分析和设计方法

第一节

时序逻辑电路的基本概念

6.1.1 时序逻辑电路的结构及特点 6.1.2 时序逻辑电路的分类

6.1.3 时序逻辑电路的功能的描述方法

第二节 时序逻辑电路的分析方法 6.2.1 分析时序逻辑电路的一般步骤 6.2.2 同步时序逻辑电路的分析举例 6.2.3 并时序逻辑电路的分析举例

第三节 同步时序逻辑电路的设计方法

6.3.1

同步时序逻辑电路的设计的一般步骤 6.3.2 同步时序逻辑电路的设计举例

*第四节 CAD例题

第七章

常用时序逻辑功能器件,(6学时)

[教学目的与要求]

掌握常用时序逻辑功能器件的结构、特性、功能及应用

第一节

计数器

7.1.1 二进制计数器 7.1.2 非进制计数器 *7.1.3 集成计数器

第二节 寄存器和移位寄存器

7.2.1 寄存器 7.2.2 移位寄存器

7.2.3 集成移位寄存器74194

*第三节

CAD例题

第八章

半导体、存储器和可编程逻辑器件(4学时)

[教学目的与要求]

1、掌握RAM和ROM的电路结构工作论理与应用

2、掌握PLD的电路表示方法

3、了解PAL及GAL的应用

第一节

随机存取存储器(RAM)

8.1.1 RAM的电路结构与工作经验 8.1.2 RAM存储容量的扩展 8.1.3 RAM举例

第二节

G读存储器(ROM) 第三节

可编程逻辑器件(PLD)

8.3.1

PLD的电路表示法

8.3.2

可编程陈列逻辑器件(PAL)简介 8.3.3 可编程通用陈列逻辑器件(GAL)

第九章

脉冲波形的产生与变换(6学时)

[教学目的与要求]

1、掌握多谐振荡器、单稳态触发器、施触发器的组成及应用

2、掌握555定时器的应用

第一节

多谐振荡器

9.1.1 门电路组成的多谐振荡器 9.1.2石英晶体振荡器

第二节

单稳态触发器

9.1.1 门电路组成的微分型单稳态触发器 9.1.2 集成单稳态触发器 9.1.3 单稳态触发器的应用

第三节

施密物触发器

9.3.1 门电路组成的施密物触发器 9.3.2 集成施密特触发器 9.3.3 施密特触发器的应用

第四节

555定时器及应用 9.4.1 555定时器 9.4.2 定时器应用举例

*第五节

CAD例题

第十章

D/A与A/D转换器(4学时)

[教学目的与要求]

掌握D/A与A/D转换器的电路结构、转换论理及应用

第一节

D/A转换器

10.1.1 倒T型电阻网络D/A转换器 10.1.2 权电流型D/A转换器 10.1.3 D/A转换器的输出方式 10.1.4 D/A转换器的主要技术指标 *10.1.5 集成D/A转换器及其应用

第二节

A/D转换器

10.2.1 A/D转换器的一般工作过程 10.2.2 并行比较型A/D转换器 10.2.3 逐次比较型A/D转换器 10.2.4 双积分A/D转换器 10.2.5 A/D转换器的主要技术指标 *10.2.6 集成A/D转换器及其应用

*第三节 CAD例题

四、教学方法

1、课堂教学,得视讲课艺术和方法多

2、重视学生能力的培养

(1)运用启发式教学,即采用预习讲授、就自学相结合、讲授与学生回答相结合,讲授课文内容与新技术、新知识相结合。

(2)重视实验与实践

(3)指导学进行电路设计及写小论文

3、因材施教 (1)认真批改作业、了解学生的学习情况及差异情况。 (2)认真进行课堂及课外辅导

4、运用教具,采用自制课件实行多媒体教学

五、

1、课程考核

1、平时成绩考核,占总成绩30%,分配如下: (1)课堂提问及午时作业

占10% (2)实验成绩

占10% (3)期中考试

占10%

2、期末考试成绩

六、教材与参考书

1、教

康华光

主编

电子技术基础

数字部分(第四版)

北京:高等教育出版社

2001年

2、参考书

[1]阊石 主编 数字电子技术基础(第四版)

[2]余孟尝 主编 数字电子技术基础简明教材(第二版)

北京:高等教育出版社

1994年 [3]唐竞新 数字电子技术基础 解题指南

北京:清华大学出版社

1993年

七、其

特 色:

1、教材内容安排:精选内容、推陈出新

2、重视对学生进行基本概念、基本电路工作经验和基本分析方法的培训。

3、重视理论联系实际

4、该大纲增加了新内容、新技术。

推荐第10篇:数字电路总结

数字电路总结

第一章数制和编码

1. 能写出任意进制数的按权展开式;

2. 掌握二进制数与十进制数之间的相互转换;

3. 掌握二进制数与八进制、十六进制数之间的相互转换;

4. 掌握二进制数的原码、反码及补码的表示方法;

5. 熟悉自然二进制码、8421BCD码和余3 BCD码

6. 了解循环码的特点。

第二章 逻辑代数基础

1. 掌握逻辑代数的基本运算公式;

2.掌握代入规则,反演规则,对偶规则;

熟悉逻辑表达式类型之间的转换---“与或”表达式转化为“与非”表达式;

3. 熟悉逻辑函数的标准形式---积之和(最小项)表达式及和之积(最大项)式表达式。(最小项与最大项之间的关系,最小项表达式与最大项表达式之间的关系)。

4. 了解正逻辑和负逻辑的概念。

第三章:数字逻辑系统建模

1.熟悉代数法化简函数

(AABA,AABAB, ABACBCABAC, A+A=AAA=A )

2.掌握图解法化简函数

3.了解列表法化简函数(Q-M法的步骤)

4.能够解决逻辑函数简化中的几个实际问题。

a.无关项,任意项,约束项的处理;

b.卡诺图之间的运算。

5.时序逻辑状态化简

掌握确定状态逻辑系统的状态化简;

了解不完全确定状态逻辑系统的状态化简。

第四章:集成逻辑门

1. 了解TTL“与非”门电路的简单工作原理;

2. 熟悉TTL“与非”门电路的外特性:电压传输特性及几个主要参数,输出高电平,输出低电平、噪声容限、输入短路电流、扇出系数和平均传输延迟时间。

3. 熟悉集电集开路“与非”门(OC门)和三态门逻辑概念,理解“线与”的概念;

4. 掌握CMOS“与非”门、“或非”门、“非”门电路的形式及其工作原理。

5. 熟练掌握与、或、非、异或、同或的逻辑关系。

7.掌握R-S、J-K、D、T触发器的逻辑功能、特征方程、状态转换图、状态转换真值表。不要求深入研究触发器的内部结构,只要求掌握它们的功能,能够正确地使用它们;

8.了解触发器直接置 “0”端RD和直接置“1”端SD的作用。

9.了解边沿触发器的特点;

10.熟悉触发器的功能转换。

11.了解施密特电路、单稳态电路的功能用途;

212.了解ROM、PROM、EPROM,EPROM有何不同;

13.能用PLD(与或阵列)实现函数

第五章: 组合逻辑电路

1、熟悉组合逻辑电路的定义;

2、掌握组合电路的分析方法:根据电路写出输出函数的逻辑表达式,列出真值表,根

据逻辑表达式和真值表分析出电路的路基功能。

3、掌握逻辑电路的设计方法:根据设计要求,确定输入和输出变量,列出真值表,利

用卡诺图法化简逻辑函数写出表达式,画出电路图。

4、掌握常用组合逻辑部件74LS283)、74LS85)、74LS138)、四选一数据选择器和八选

一数据选择器74151的应用(利用138译码器、八选一数据选择器实现组合逻辑函数等)。

5、了解组合电路的竞争与冒险。

第六章: 同步时序电路

1. 了解时序电路的特点(定义);

2. 记住时序电路的分析步骤,掌握时序电路的分析方法,能够较熟练地分析同步时序

电路的逻辑功能。

3. 记住时序电路的设计步骤,掌握时序电路的设计方法,会同步时序电路的设计(含状

态化简)。

第七章: 常用时序逻辑部件

4. 了解常用的时序逻辑部件,如各种计数器(74LS16

1、74LS16

3、74LS193)、移位寄

存器(74LS194)及寄存器;不要求详尽的去研究其内部电路,但能够应用时序逻辑部件构成给定的逻辑功能。

5. 会看时序逻辑部件及组合逻辑部件的功能表,根据功能表掌握其逻辑功能、典型应

用及功能扩展

6. 掌握掌握连成任意模M同步计数器的三种方法:预置法,清0法,多次预置法;

7. 掌握序列码发生器的设计过程

第八章 了解A/D,D/A转换的基本原理。

思考题

1. BCD码的含义是什么?

2. 数字电路的特点是什么?

3. 三态门的特点是什么,说明其主要用途?

4. OC门的特点是什么,说明其主要用途?

5. TTL集成逻辑门的基本参数有哪几种?

6. 什么是“与”逻辑关系、“或”逻辑关系、“非”逻辑关系?

7. 什么是“同或”逻辑关系、“异或”逻辑关系?

8. 简化逻辑函数的意义是什么?

9. 几种数制如何进行相互转换?

10. 怎样取得二进制数的原码、反码和补码?

11. 将十进制数125编写成8421BCD码和余3BCD 码;

12. 什么是最小项及最小项表达式?

13. 怎样用代数法化简逻辑函数?

14. 怎样用卡诺图法化简逻辑函数?

简化后的逻辑表达式是。

A.唯一B.不唯一

C.不确定D.任意。

15. 什么是组合电路?什么是时序电路?各自的特点是什么?

16. 组合电路的表示形式有几种,是哪几种?

17. 组合电路的分析步骤是什么?

18. 组合电路的设计步骤是什么?

19. 半加器与全加器的功能有何区别?

20. 译码器、编码器、比较器如何进行级联?

21. 如何用数据选择器实现逻辑函数?

22. 竞争与冒险的起因是什么?

23. D触发器与J-K触发器的特征方程和状态转换图是什么?

24. 如何用J-K触发器实现T触发器?

25. 什么是同步时序电路和异步时序电路?其特点是什么?

26. 同步时序电路的分析步骤是什么?

27. 同步时序电路的设计步骤是什么?

28. 全面描述时序电路的方程有几个?是哪几个?

29. 状态化简的意义是什么?怎样进行状态化简?

30. 怎样用中规模同步集成计数器设计任意模值计数器?

31. 怎样用移位寄存器构成环形计数器?

32. 什么是ROM?什么是RAM?

33. PLD、PLA、GAL、PAL,FPGA、CPLD的含义是什么?

34. 画出ADC工作原理框图,写出三种ADC电路的名称。

35. 计算R-2R网络DAC的输出电压。

36. 欲将正弦信号转换成与之频率相同的脉冲信号,应用

(a) T’触发器;(b)施密特触发器;(c)A/D转换器 (d)移位寄存器 37.

第11篇:数字电路创新教学探究(一)

数字电路创新教学探究(一) 摘要:随着电子技术的迅速发展,数字电子技术在科研和实际应用中占据着越来越重要的地位。首先阐述了加强实践教学的目的和重要性,然后分析近年来各高校数字电路教学的现状,指出在数字电路教学中加强实验教学的重要性,最后,对数字电路实验教学改革和具体实施方法进行了探讨。

关键词:数字电路;实验

数字电子技术是目前发展最快的科学技术之一,数字电路是电子、通信、电气和计算机等专业学生的必修课,它要求学生系统地掌握数字逻辑电路的分析、设计和应用,具有很强的实践性。数字电路实验在数字电路教学中占有非常重要的地位,因此加强实验教学的意义就非同一般了。

1加强实验教学的目的

数字电路是理工科很多专业的一门技术基础课,也是学生以后从事科学技术研究和开发工作的一门重要课程,其目的既要培养学生良好的基本实验素养和基本实验技能,也要为学生在理论与应用之间架起一座桥梁,使它成为培养应用复合型人才的一个重要教学环节。 2数字电路教学现状

(1)数字电路目前在很多学校仍然采用传统的教学方法,教学的重点仍然是传统的基本教学内容。而随着信息时代的到来,教育的重心由以往的注重传授知识向注重培养学生综合素质发生转变。该课程作为一门重要的专业基础课,其教学内容应该适应科学技术的发展以及对人才培养的要求。如今教学改革应该遵循“理论够用,实践为重”的原则,将培养能力的思想作为核心。力求为社会培养基础扎实、具有创新意识和创新能力,理论联系实际、综合素质高的新一代建设人才。

(2)传统的数字电路实验是按课程的开设顺序逐一设置基本实验项目和课程设计实验,也就是主要围绕相关的理论课程来设计的一种实验模式。实验以中小规模电路为主,大规模数字电路实验较少,也很少考虑各实验课内容相互之间的衔接与综合,以至学生往往缺少大型数字电路实验的训练机会,难以培养出综合电路设计的能力。这样的实验结构已落后于当代学生科学素质、实验技能和创新能力培养的要求。现在新型的实验结构不仅是实验内容的深化、强化,而且还需要体现实验的系统性综合性和创新性。

(3)目前开设的数字电路实验内容,大多是对理论教学的简单验证和基础实验的技能训练,内容老化,手段单一。传统的验证性实验虽然可以加深学生对理论知识的理解,但仍届于获取间接知识的渠道。当学生进行传统的验证性实验时知识结论已先入为主地占据了学生的头脑,当实验数据与理论不相符合时,学生往往不去追求事实的真相,而是违背认识以理论为本,去修正实验数据,重蹈理论第一的覆辙的规律。而高校人才的培养则应使学生通过实验亲身体验直接知识的获取,并从中接受和理解间接知识,真正懂得实践才是获取真知的主要渠道。所以应引导学生从实验中去发现、认识事物运用已学到的知识去进行解释、检验和总结,有的客观规律效地调动学生的学习兴趣和热情。只有进行这样的实验教学才可以培养学生自我获取知识和探索未知新知识的能力,为真正成为有用的人才打下良好的基础。 3数字电路教改的思路

(1)为了突出数字电路实验课的地位和作用,在教学内容的改革中,对学生在有关电子仪器的测试方法及测试技术的训练方面不但不能削弱,还应该通过改进实验手段,提高课时利用率,深化教学要求等途径,使这些基本训练得到加强。

(2)为了使这门课程体现基础课的性质,在保留和加强一些必要的基础实验技术训练的基础上,新增加一些有关高新技术中常用电子器件的参数及性能的测试应用和综合性、设计性实验。

(3)在实验方法和测试手段上,提高实验测试仪器的档次,并把计算机技术恰当地引入到有关实验中去,使实验手段的改进和教学内容的深化能充分体现实验内容的先进性。

(4)在对学生创新意识和创新能力的培养上,加大综合性、设计性实验的比重,开设开放实验室和创新实验室,进行实验技术的创新。

(5)改革现有的数字电路实验课程的考核评价制度。 4教学创新改革具体方案

4、1实验教学理念的转变

目前多数高校对实验教学的重要性认识不足,受传统教育观念的影响,高校人才培养中对实验教学还存在着一些认识误区。一些教师受应试教育的影响,重视课堂教学,轻视实验教学。认为课堂教学可以发挥自己的优势,体现自己的水平,而实验教学只是课堂教学的一种补充,是一种事务性的工作。所以要改变陈旧观念,充分认识到实验教学是培养人才的重要手段,是学校创新体系建设、创新能力提高、创新人才培养的基础。培养创新型人才,就要实施创新教育,重视实验教学,改变以教师为主导的教育模式,充分发挥实验教学的作用,使之成为引导学生从实践来获取和应用理论知识的主要渠道,高校师生应牢固树立实践第一的正确思想。

第12篇:数字电路课程设计题目

数字电路课程设计题目

题目1 数字式频率计

任务:

设计一个数字式频率计。 基本要求:

1.被测信号为TTL脉冲信号。 2.显示的频率范围为0—99Hz。 3.测量精度为±1Hz。

4.用LED数码管显示频率数值。 扩展部分:

1.输人信号为正弦信号、三角波,幅值为10mV。 2.显示的频率范围为0000—9999Hz。 3.提高测量的精度至0.1Hz。 设计方案:

频率是指单位时间(1s)内信号振动的次数。从测量的角度看,即单位时间测得的被测信号的脉冲数。电路的方框图如下图所示。被测信号送人通道,经放大整形后,使每个周期形成一个脉冲,这些脉冲加到主门的A输人端,门控双稳输山的门控信号加到主门的B输入端,在主门开启时间内,脉冲信号通过主门,进人计数器,则计教器记得的数,就是要测的频率值。如果主门的开启时间为Ts,计数器累积的数字为N,则被测的频率为fx=N/T。

五、可选元器件

锁存器74LS273;计数器74LS90;

定时器555:

单稳态触发器743Ls123;

显示译码器74Ls47;

共阳极数码管:

电阻、电容若干。

数字电路课程设计题目

题目2 多功能数字钟

一、任务

设计一个数字钟。

二、基本要求

1.准确计时,以数字形式显示时、分和秒的时间。

2.小时的计时要求为“12翻1”,分和秒的时间要求为60进制。

3.校正时间。

三、扩展功能 1.定时控制。

2.仿广播电台正点报时。 3.报整点时数。

四、数字钟电路的组成框图

数字钟电路的组成如上图所示,其主体电路的工作原砌口下:由555定时器产生1kHz的脉冲信号,经由74LS90构成的几级分频器后,输出1Hz的时钟,为由74LS90和74LS92构成的60进制秒计数器提供时钟,秒计数器十位再向74Ls90和74L592构成的60进制分计数器提供时钟,其高位再为由74LSl91和74LS74构成的12进制时计数器提供时钟。秒、分和时计数器的输出分别接到各自的译码器的输入端,驱动数码管显示。

五、可选无器件

与非门:74LS00 4片;译码器:74LS47 6片;计数器:74LS90 5片 74LS92 2片 74LS191 2片;发光二极管4只;数码管4只;555定时器:NE555 2片;触发器:741LS74 2片;74LS03(OC)片:74LS04 2片:74LS20 2片。

数字电路课程设计题目

题目3声控开关的设计与制作

一、任务

设计—个声控开关,控制对象为发光二极管。

二、基本要求

1.接收到一定强度的声音后,声控开关点亮发光二极管(电流5-10mA),延时时间在1—10s之间可调。

2.延时时间用数字显示(采用共阳极数码管),时间单位为0.1s,显示范围为0-9.9s。

三、设计方案

设计方框图如下图所示。驻极体话筒接收到一定强度的声音信号后,声音信号转换为电压信号,幅值很小,经放大、整形(施密特电路)后,触发单稳延时电路,产生一个宽度可调的脉冲信号,驱动发光显示电路。同时,这个脉冲信号作为选通信号,使计数器计数,并用数码显示。若时基电路的信号周期为0.1 s,则数码管显示的数字即为相应的时间值。

四、可选元器件 驻极体话筒; 三级管:9013;

555定时器;

发光二极管LED(共阳) 显示译码器;74LS47; 计数器;74LS90; 与非门;74LS00; 电阻、电容若干。

数字电路课程设计题目

题目4 数字式相位差测量仪

一、任务

设计一个电路测量两路信号的相位差。

二、要求

1.被测信号为正弦波,频率为40—60Hz,幅度大于0.5V。

2.相位测量精度为1。

3.用数码管显示测量结果。

三、设计方案

图1

两个同频信号中fR为基准信号,fs为被侧信号,经放大整形后,变成正方波信号(即电压变化范围0-5v),再经二分频电路送入由异或门组成的相位比较电路,其输出脉冲A的宽度tw可反映两信号的相位差,波形的变化过程如图2所示。

图2

锁相环和360分频电路构成的是360倍频电路,其输出B的每一周期对应原信号的1,所以可用它来度量相位差。控制电路的作用分为两方面,其一,当计数结束时,产生锁存信号,将汁数结果存入锁存器;其二,锁存后,再产生一短暂的清零信号,将计数器清零,为下一周期的测量做好准备。

四、设计提示

1.放大电路可由运放u A741实现,波形的整形用集成比较器LM393构成的滞回比较

数字电路课程设计题目

器完成。应保证整形前后两信号的相位差不变。

2.异或门采用四—2输入异或门集成电路74LS386(或74LS86)。用示波器检查其输出是否反映了两信号的相位差。

3.锁相环采用低频锁相环CD4046,其原理请参照教材。设计时应根据本题目的要求合理选择压控振荡器的定时电阻和电容,使其振荡频率在50×360=18kHz左右。环路滤波器采用简单的RC滤波器即可,截止频率应低于40Hz。

4.360分频电路可用三级计数器串接而成(5×6×12),用74LS90和74LS92即可实现。

5.锁存器可用两片74LS273(8D触发器)实现。

6.控制电路用以产生锁存器的锁存信号(即时钟信号)和计数器的清零信号。可用两级单稳电路.其一接受A的下降沿触发,产生一正脉冲CP;后者接受CP的下降沿,产生清零脉冲。

注意:脉冲宽度应合适,不应影响下一周期的测量。

数字电路课程设计题目

题目5 数字电容测试仪

一、任务

设计一个数字电容测试仪。

二、基本要求

1.设计一个能测量电容范围在1000—100000pF之间的电容测试仪 2.用数码管显示。

3.测量精度要求为±10%(准确值以万用表的测量值为准)。

三、扩展要求

通过选择量程的方法扩展电容的测量范围,最大要求100pF—10uF。

四、设计方案

1.设法将电容的划、转换成与之相对应的脉冲数。 2.测量脉冲数目并进行译码,用数码管显示结果。 3.设计框图见下图。

五、可选元器件

脉冲形成和时基电路:用NE555; 计数器:74LS90或74LS160; 译码器:74LS47或74LS48: 显示:LED数码管;

控制电路:74Ls系列与非门; 电阻、电容若干。

数字电路课程设计题目

题目6 光控计数器

一、任务

设计一个利用光线的通断来统计进入实验室人数的电路。

二、基本要求

1.设计两路光控电路,一路放置在门外,另一路设置在门里,当有人通过门口时(无论是进入或走出房间),都会先触发一个光控电路,再触发另一个光控电路。要求根据光控电路产生触发脉冲的先后顺序,判断人员是进入还是离开实验室,当有人进入实验室时令计数器进行加计数,当有人离开实验室时进行减计数。

2.要求计数器的最大计数容量为99,并用数码管显示数字‘

三、扩展要求

1.有手动复位(清零)功能。

2.要求计数器每计—个数,发光二极管指示灯闪烁一次(或峰呜器呐一次)。

四、设计方案

五、可选元器件

红外发光二极管和光电三极管(对管两对);

集成显示译码电路74LS 47或74LS48(2个):

数码管(2个);

可逆计数器74LS190或74LSl92(2个);

发光二极管;

555定时器。

说明:74LSl90为单时钟加减控制型十进制可逆计数器, 74LSl92为双时钟十进制可逆计数器。

数字电路课程设计题目

题目7 空调机温度控制器

一、任务

设计一个空调机温度控制器。空调为温度调节设备,当制冷运行时,如果室内环境温度高于设定温度,空调器启动制冷运行:如果室内环境温度低于设定温度,空调器停止运行。制热运行与制冷运行过程正好相反。

二、基本要求

1.具有温度采集功能,实时采集室内环境温度,要求误差在±1℃之内。

2.温度设定通过按键完成,比如,按一下键为设定成21℃,按两下键设定为22℃,依此类推,并且能将设定的温度显示出来。

3.根据设定温度和采集到的温度判断压缩机的运行状态,可以用开关量来表示。

三、扩展部分

1.制作成冷暖两用型控制器,需要另外加一个控制变量来描述制冷或者制热。

2.控制器具有施密特特性,抗扰动。

3.提高温度采集准确度。

四、设计方案

该电路的核心应为一比较器。且该比较器的阈值电压应受到设定温度的控制。可以采用多路模拟开关和电阻网络来改变阈值电压。可参考下图。

五、可选元器件

温度传感器:AD590:

集成运放:LM324;

三级管:90133

多路模拟开关:比406

6、

可以选用其他器件。

数字电路课程设计题目

题目8 数字式波形发生器

一、任务

采用EPROM(2764)设计一种可产生多种模拟连续波形的电路,能够产生正弦波、三角波、锯齿波。

二、基本要求

1.设汁时钟电路。

2.设计能够产生正弦波的波形发生器。

3.画出完整的电路图,写出设计实验调试报告。

三、扩展部分

1.对正弦波、三角波、锯齿波波形进行量化,并将量化结果存于EPROM。 2.设计产生三角波、锯齿波的连续波形发生器。 3.画出完整的电路图,写出设计实验调试报告。

四、设计方案

数字式波形发生器框图如下图所示。由时钟电路、计数器电路、EPROM和D/A组成。采用555构成多谐振荡电路作为时钟电路,或采用卧式晶振构成时钟电路,时钟频率为256Hz。计数器可采用74LSl6

1、74LS93构成,其输出作为EPROM的地址输入。将多种波形的量化结果存于EPROM存储单元内。采用8位D/A,进行数模转换。

五、可选元器件

555定时器:NEC555; 计数器:74LS161;

EPROM:2764;

数模转换器:DAC0832: 运算放大器:uA741; 集成稳压源:CW78L05。

数字电路课程设计题目

题目9 可编程字符发生器

一、任务

制作一个可编程字符发生器

二、基本要求

LED发光短阵作显示器件。

1.点阵式LED发光矩阵为8X8或16X16规格。 2.设计LED发光矩阵的驱动电路。

3.用EPROM存储器存储待显示的字符。

4.字符数大于4,字形自定,如“庆祝十六大胜利闭幕”、“电子设计竞赛”等。 5.具有顺序显示、流水显示和循环显示的工作方式,设计相应的控制电路。

三、设计方案

由发光二极管组成的显示屏,当行、列信号有效时,其交点的发光器件点亮,多个被点亮的发光器件即可组成一定的字符。被点亮的器件如果核一定方式显示,如从上至下,从右至左,即可形成流水显示效果。

被显示的字符按点阵方式存储在EPROM中,例如存储“中”字: 高位地址 低位地址

数据代码

D7 D6 D5 D4 D3 D2 D1 D0 全“0”

000

0

0

0

0

0

0

0 全“0”

001

0

0

0

0

0

0

0 全“0”

010

0 全“0”

011

0

0

0

0

0 全“0”

100

0

0

0

0

0 全“0”

101

0 全“0”

110

0

0

0

0

0

0

0 全“0”

111

0

0

0

0

0

0

0 存储器写入数据在EPROM的片选和读写控制端控制下进行,可通过专门的编程器写入,习惯称为烧入。也可通过手写方式逐点写入。

由发光二极管组成的显示屏应采用动态扫描工作方式,有利于简化电路。例如,行驱动扫描速度较快(100Hz),而列驱动情号较慢(1Hz),于是在1s内,行驱动信号变化100次,被点亮的LED亮灭100次。由于人眼的视觉暂留作用,字符就固定显示出来了。如果将一个字符逐次移动一列存储10次,每隔0.1s列地址变化一次,即可产生流水效果。

可编程字符发生器的参考力框图如下图所示。

四、可选元器件

发光二极管点阵(8×8);EPROM2716;计数器:74Ls93;555定时器;驱动管2803; 锁存器:74LS373;非门74LS04;译码器:74LSl38。

数字电路课程设计题目

题目10 智力竞赛抢答器

在进行智力竞赛抢答时,需要将参赛者分为若干组进行抢答,究竟谁先谁后单凭主持人的眼睛是很难判断的;在提问或回答时,往往都要有时间限制。另外,犯规违章要发出一种特殊信号,以便主持人看得清、听得到。要完成上述功能,非专门仪器是难以实现的。因此,在进行智力竞赛时,通常使用一种仪器——“抢答器”。它能避确无误地实现上述功能。

一、任务要求

1.四组参赛者在进行抢答时,当抢先者按下面前的按钮时,抢答器能准确地判断出抢先者.并以声、光为标志。要求声响、光亮时间为9秒后自动熄灭。

2.抢答器应具有互锁功能,某组抢答后能自动封锁其它各组进行枪答。

3。抢答器应具有限时(抢答时、回答问题时)功能。限时档次分别为30秒、60秒、90秒;时间到时应发出声响。同时,时间数据要用数码管显示出来。

4.抢答者犯规或违章(主持人未说‘开始抢答”时,参赛者抢先按钮)时,应自动发出警告信号,以指示灯光闪为标志。

5.系统应具有一个总复位开关。

二、设计说明和提示

如下图所示,为抢答器的逻辑框图。

它主要由输人电路、判别电路、声光显示电路、计时电路和数码显示电路等组成。抢答时,当抢先者按下面前的按钮时,输人电路立即输出一抢答信号,经判别电路后,输出响应信号去驱动声光显示电路。声响电路和计时电路的输入信号是由晶振电路产生的脉冲信号来提供。

三、实验步骤自拟、器件自选

数字电路课程设计题目

题目11 交通信号灯的自动控制

随着经济建设的发展,交通日益繁忙,事故时有发生。为了保障行人和行车的安全,在十字路口上,都增设了交通灯控制器,如图1所示。大道通常有车.小道很少有车。

一、任务要求

1.通常情况下,大道绿灯亮,小道红灯亮。

2.若小通来车,大道经6秒由绿灯变为黄灯;再经过4秒,大通由黄灯变为红灯,同时,小道由红灯变为绿灯。

3.小道变绿灯后,苦大道来车不到3辆,则经过25秒钟后自动由红灯变为黄灯,再经过4秒变为红灯,同时.大道出红灯变为绿灯。

4.如果小道在绿灯亮时.小道绿灯亮的时间还没有到25秒,只要大道检测到已经超过3辆车在等候,那么小道应立即由绿灯变为黄灯,再经过4秒变为红灯.同时,大道由红灯变为绿灯。

图1

表1

二、设计说明和提示

1.灯的变化出现四个状态,如表1表示灯亮,‘0’表示灯灭。

2.交通灯控制的原理框图如图2所示。秒信号和检测信号由实验箱信号源和高低电平来提供。

图2

三、实验步骤自拟、器件自选

数字电路课程设计题目

题目12 电子锁

电子锁具有保密性强、防盗性好等特点。随着对电子锁产品的开发研制,它在日用锁中所占比重日益增强。电子锁具有机械锁无法比拟的优越性,它不仅可以完成锁本身的功能,还可以兼有多种功能,如记忆、识别、报警、兼作门铃等等。作为密码类电子锁,还不需要带钥匙,只要记住开锁密码即可。如果密码失密,主人还可以随时变换密码,不会造成不应有的损失。

一、任务要求

1.设计一个电子锁,其密码为8值二进制代码,开锁指令为串行输入码。

2.当开锁输入码与密码一致时,锁被打开。

3.当开锁输入码与密码不一致时,则报警。报警动作响1分钟,停10秒钟后再重复出现。

4.报警器可以兼作门铃用,而门铃响的时间通常为7一l0秒。

二、设计说明和提示

下图为电子锁原理框图。锁体一般由电磁线圈、锁拴、弹簧和锁框等组成.当有开锁信号时,电磁线圈有电流通过,于是线圈便产生磁场吸住锁拴,锁便打开。当无开锁信号时,线圈无电流通过,锁拴被弹入锁框,门被锁上。为教学方便,我们用发光二极管代替锁体,亮为开锁,灭为上锁。密码存储可用高低电平开关设置,也可以采用时序电路存储。当开锁信号串行输入时,一定做到输入8位代码后才出现比较结果,一致时则开锁,不一致时则报警。

三、实验步骤自拟、器件自选

数字电路课程设计题目

题目13 八路彩灯显示电路

每逢过年过节都要在门口上搞些彩灯,以显示浓厚节日气氛。那么在当代舞台上彩灯的闪烁更引人注目,使人们心情有一种特别快乐感。彩灯装置多种多样,在这里我们设计这样一种彩灯——八路彩灯显示。

一、任务要求

设计一个八路彩灯,而且每路都有八盏灯显示的控制装置。其彩灯变化情况如下:

1.八路彩灯的每路八盏灯同时依次亮,时间间隔1秒,然后同时依次灭,时间间隔1秒。

2.八路彩灯同时整个亮,时间间隔0.5秒,然后同时整个灭,时间间隔0.5秒。而这个 过程要重复四遍。

二、设计说明和提示

每路彩灯要完成一个循环共需20秒,其工作程序如下表所示。设每路彩灯为Q1-Q8。

由表可知,要完成八路彩灯显示功能.需设一个控制器,重复实现该控制程序。

三、实验步骤自拟,器件自选

第13篇:数字电路基础教案

第7章

数字电路基础

【课题】

7.1 概述

【教学目的】

1.让学生了解数字电子技术对于认知数码世界的重要现实意义,培养学生学习该科目的浓厚兴趣。

2.明确该科目的学习重点和学习方法。【教学重点】

1.电信号的种类和各自的特点。2.数字信号的表示方法。

3.脉冲波形主要参数的含义及常见脉冲波形。4.数字电路的特点和优越性。 【教学难点】

数字信号在日常生活中的应用。 【教学方法】

讲授法,讨论法 【参考教学课时】

1课时 【教学过程】

一、新授内容

7.1.1 数字信号与模拟信号

1.模拟信号:在时间和数值上是连续变化的信号称为模拟信号。2.数字信号:在时间和数值上是离散的信号称为数字信号。 讨论: 请同学们列举几种常见的数字信号和模拟信号。 7.1.2 脉冲信号及其参数

1.脉冲信号的定义:在瞬间突然变化、作用时间极短的电压或电流信号。2.脉冲的主要参数:脉冲幅值Vm、脉冲上升时间tr 、脉冲下降时间tf、脉冲宽度tW、脉冲周期T及占空比D。 7.1.3 数字电路的特点及应用

特点:1.电路结构简单,便于实现数字电路集成化。

1 2.抗干扰能力强,可靠性高。(例如手机)

3.数字电路实际上是一种逻辑运算电路,电路分析与设计方法简单、方便。4.数字电路可以方便地保存、传输、处理数字信号。(例如计算机) 5.精度高、功能完备、智能化。(例如数字电视和数码照相机)

应用:数字电路在家电产品、测量仪器、通信设备、控制装置等领域得到广泛的应用,数字化的发展前景非常宽阔。

讨论:1.你用过哪些数字电路产品,请列出1~2个较为典型的例子,并就其中一个产品说明它的功能及优点和缺点。

二、课堂小结

1.数字信号与模拟信号的概念 2.脉冲信号及其参数 3.数字电路的特点及应用

三、课堂思考

讨论:谈谈如何才能学好数字电路课程?

四、课后练习

P143思考与练习题:

1、

2、3。

【课题】

7.2 常用数制与编码

【教学目的】

1.掌握二进制、十进制、十六进制数的表示方法及数制间的相互转换。

2.了解8421BCD码的表示形式。【教学重点】

1.二进制、十六进制数的表示方法。

2.数字电路中为什么广泛采用

二、十六进制数。3.为什么要进行不同数制之间的转换。

4.进行二进制、十进制数、十六进制之间的相互转换。5.8421BCD码。 【教学难点】

2 十进制数与十六进制数之间的相互转换。 【教学方法】

讲授法 【参考教学课时】

2课时 【教学过程】

一、复习提问

数字电路的特点及应用。

二、新授内容

7.2.1 数制

基本概念:(1)进位制 (2)基数 (3)位权

1.十进制:十进制数有0、

1、

2、

3、

4、

5、

6、

7、

8、9共10个数码。十进制数作加法运算时遵循“逢十进一”,作减法运算时遵循“借一当十”的规则。

小提示:在实际的数字电路中,采用十进制十分不便,因为十进制有十个数码,要想严格地区分开必须有十种不同的电路状态与之相对应,这在技术上实现起来比较困难。因此,在实际的数字电路中一般不直接采用十进制数。

2.二进制:二进制数仅有0和1两个不同的数码。进位规则为“逢二进一”;借位规则为“借一当二”。

小提示:二进制是数字电路中使用最广泛的一种数制。因为二进制数只有0、1两个数码,容易通过电路或器件的状态来表示;其次,二进制的运算规则简单。

3.十六进制:十六进制的进位规律是“逢十六进一”。 7.2.2数制间的转换

1.二进制数转换为十进制数

转换方法是:写出二进制的权展开式,然后将各数值按十进制相加,即可得到等值的十进制数。

2.十进制整数转换为二进制数

转换方法是:将十进制整数逐次用2除取余数,一直除到商为零。其中最先出现的余数为二进制数的最低位数码。这种转换方法通常称为短除取余倒计法。

3.二进制数转换为十六制数

转换方法是:将二进制数自右向左每4位分为一组,最后不足4位的一组,高位用零补足;然后写出每一组等值的十六进制数。

4.十六进制数转换为二进制数

转换方法是:只要把每一位十六进制数用相应的四位二进制数代替即可。

3 7.2.3 编码

1.二-十进制代码

常用的二-十进制代码是用4位二进制数表示1位十进制数,也称BCD码。 2.字符代码

三、课堂小结

1.数制

2.数制间的转换

3.编码

四、课堂练习

1.将下列二进制数转换成十进制数: (1)(101001)

2(2)(10110)2

2.分别求出10~20所对应的二进制数。3.将下列十进制数用8421BCD码表示: (1)17

(2)432

五、课后练习

P147思考与练习题:

1、

2、3。

【课题】

7.3 逻辑门电路

【教学目的】

1.掌握基本逻辑门和常用复合门的逻辑功能及电路符号。2.了解集成逻辑门的内部结构和常用集成逻辑门的系列品种。 【教学重点】

1.基本逻辑门的逻辑功能。

2.与非门、或非门、与或非门、异或门等复合逻辑门的逻辑功能,会画电路符号,会使用真值表。【教学难点】

特殊逻辑门的作用和应用。 【教学方法】

讲授法、讨论法及实物展示。

4 【参考教学课时】

4课时 【教学过程】

一、复习提问

1.简述各数制之间的转换方法。2.将下列十进制数用8421BCD码表示。 (1)28

(2〕3895

二、新授内容 7.3.1 基本逻辑门

基本概念: 逻辑关系、逻辑门电路 1.与逻辑门

(1)与逻辑关系:“只有当决定一件事情(灯亮)的各种条件(开关S

1、S2闭合)完全具备时,该事情(灯亮)才发生,否则就不发生”,这样的逻辑关系称为与逻辑关系。(2)与门电路: 逻辑符号、表达式、真值表 讨论:联系生活说明有哪些常见的与逻辑。 2.或逻辑门

(1)或逻辑关系:“在决定一件事情(灯亮)的各种条件(开关S

1、S2闭合)中,只要有一个条件具备,该事情(灯亮)就会发生”,这样的逻辑关系称为或逻辑关系。(2)或门电路:逻辑符号、表达式、真值表 讨论:联系生活说明有哪些常见的或逻辑。 3.非逻辑门

(1)非逻辑关系:“事情的结果(灯亮)与条件(开关闭合)总是呈相反状态”。 (2)非门电路:逻辑符号、表达式、真值表 讨论:联系生活说明有哪些常见的非逻辑。 7.3.2 复合逻辑门

1.与非门:逻辑功能、逻辑符号、表达式、真值表 2.或非门:逻辑功能、逻辑符号、表达式、真值表 3.与或非门:逻辑功能、逻辑符号、表达式、真值表 4.异或门:逻辑功能、逻辑符号、表达式、真值表

5.同或门:逻辑功能、逻辑符号、表达式、真值表(补充) * 7.3.3 特殊逻辑门

5 1.集电极开路与非门(OC门)

(1)OC门的工作原理、逻辑符号、逻辑表达式及逻辑功能 (2)OC门的应用举例 ①实现线与

②驱动显示器 ③实现电平转换 2.三态门(TSL门)

(1)三态门的逻辑符号及功能 (2)三态输出门的应用举例 ①用三态输出门实现数据单向传送 ②用三态输出门实现数据双向传送 7.3.4 常用集成逻辑门

1.集成门电路的内部结构(教材图7.19) 补充: 双列直插式集成电路 (1) 外形封装(实物展示) (2) 引脚的编号辨认

2.集成与门、与非门:逻辑功能,电路符号 3.集成或门、或非门:逻辑功能,电路符号 4.常用集成非门

三、课堂小结

1.基本逻辑门的逻辑符号及逻辑功能

2.复合逻辑门的逻辑符号及逻辑功能 3.特殊逻辑门的逻辑符号及逻辑功能 4.常用集成逻辑门

四、课堂练习

1.写出下列各门电路的输出结果:

2.如何判别双列直插式集成电路引脚的编号顺序?

3.在一条长廊中,想用三个开关去控制一盏灯,如奇数个开关合上时,则灯亮;如偶数个开关合上时(0是偶数),则灯熄。根据该文字描述建立真值表。

五、课后练习

1.P156思考与练习题:

2、

3、5。

2.将学过的各种门电路的逻辑功能归总列表。

【课题】

7.4 逻辑函数的化简

【教学目的】

掌握逻辑代数的基本定律、常用公式与化简方法。 【教学重点】

1.了解逻辑函数化简和变换的意义。2.掌握逻辑代数的基本定律和基本公式。 3.理解逻辑表达式化简的标准。 4.用逻辑函数基本公式化简逻辑函数。 【教学难点】

利用配项法进行逻辑函数化简。 【教学方法】

讲授法、讨论法 【参考教学课时】

2课时 【教学过程】

一、复习提问

画出基本逻辑门的逻辑符号并说明其逻辑功能。

二、新授内容

7.4.1逻辑代数的基本定律 7.4.2 逻辑函数的代数法化简 补充:化简在实用中的意义 1.逻辑函数的表示方法

7 2.逻辑函数化简的基本原则 3.逻辑代数常用公式 4.逻辑函数的化简方法 5.例题讲解

讨论:通过例题讲解可知,对于比较复杂的逻辑函数式,可用不同的公式和方法进行化简,其结果是相同的,但有繁有简。我们要善于选择比较精炼的方法来完成。

三、课堂小结

1.逻辑代数的基本定律 2.逻辑函数的代数法化简

四、课堂思考

P159 思考与练习题:

1、2。

五、课后练习

1.P159 思考与练习题:

3、

4、5。

2.在课外资料上寻找有关题目,扩展学生知识面。

【课题】

* 7.5 数字集成电路的基本使用常识

【教学目的】

1.了解典型TTL、CMOS数字集成电路的主流系列品种、主要特点及使用常识。

2..培养学生应用能力,提高学生的动手能力。【教学重点】

1.TTL、CMOS集成电路的主流系列品种、主要特点及使用常识,并会测试其逻辑功能。2.逻辑门闲置引脚的处理方法。 【教学难点】

根据要求,合理选用集成门电路。 【教学方法】

讲授法、举例法 【参考教学课时】

1课时 【教学过程】

一、复习提问

8 写出各种逻辑门电路的逻辑功能与逻辑表达式。

二、新授内容

7.5.1数字集成电路的分类

1.TTL数字集成电路 2.CMOS数字集成电路 7.5.2数字集成电路的使用注意事项

1.闲置输入引脚的处理 2.集成电路使用应注意的问题

3.TTL数字集成电路应用举例(补充)

图7.1所示为简易逻辑测试笔电路图,可用来检测TTL数字集成电路的逻辑电平值。说明该电路的工作原理。(提示:分析TTL输出电平值为0和1时,发光二极管的发光情况)

图7.1

三、课堂小结

1.数字集成电路的分类

2.数字集成电路的使用注意事项

四、课堂思考

P163 思考与练习题:

2、3。

五、课后练习

P163 思考与练习题:

1、4

【课题】

实训项目 7.1 数字电路实训箱的初步使用

【实训目标】

1.认识数字实训箱的结构。2.掌握数字实训箱的使用。 【实训重点】

数字实训箱的使用。 【实训难点】

实训箱故障的诊断与排除。 【实训方法】

9 实验实训 【参考实训课时】

1课时 【实训过程】

一、实训任务

任务一

认识数字实训箱的结构

1.认识实训箱的结构 2.使用注意事项 任务二

实训箱的初步使用

1.观察实训箱插件板的结构。

2.开机后,可以看到操作面板上十六位红色发光二极管点亮,六位数码管显示出初始状态,这时可用万用表测出数码管驱动芯片接Vcc引脚的电平值=

V;接GND引脚的电平值=

V。可用万用表检查电源电压=

V,看是否符合电路或集成组件的要求,一般TTL电路为5V。

3.测试十六位逻辑开关和十六位发光二极管红、绿灯的显示功能 4.测试单脉冲及连续脉冲的输出功能

二、实训总结

1.数字实训箱的操作面板上包含有哪几部分内容,使用时有哪些注意事项? 2.总结数字实训箱的使用方法。

三、课堂思考

1.数字实训箱的操作面板上包含有哪几部分内容,使用时有哪些注意事项?

四、课后作业

完成实训报告,写出本次实训的体会和收获。

【课题】

实训项目7.2 集成逻辑门电路的功能测试

【实训目标】

1.掌握逻辑门电路的逻辑功能测试方法。

2.掌握在实训箱上连接实现数字电路的方法。【实训重点】

掌握在实训箱上连接实现数字电路的方法。 【实训难点】

集成电路多余输入端的处理方法。

10 【实训方法】

实验实训 【参考实训课时】

1课时 【实训过程】

一、复习提问

1.基本逻辑门电路的逻辑功能。

2.数字实训箱使用时有哪些注意事项?

二、实训任务

任务一

与非门逻辑功能测试

1.测试电路原理 2.操作步骤

任务二

用与非门控制信号输出

1.实训电路原理 2.实训内容

三、实训总结

1.画出电路原理图,并标明集成块名称和接线时使用的引脚号。2.按照实训操作过程记录、整理实训内容和结果,填好测试数据。

四、课堂思考

1.当与非门的一个输入端接脉冲源时,请问其余输入端在什么状态下允许脉冲通过?什么状态下禁止脉冲通过?

2.如何检测与非门集成电路质量的好坏?

五、课后作业

完成实训报告,写出本次实训的体会和收获

第14篇:数字电路实验心得体会

数字电路实验心得体会

数字电路实验心得体会一:数字电路实验心得

在实验具体操作的过程中,对理论知识(半加器和全加器)也有了更近一步的理解,真正达到了理论指导实践,实践检验理论的目的。

实验操作中应特别注意的几点:

(1)刚开始创建工程时选择的目标芯片一定要与实验板上的芯片相对应。

(2)连接电路时要注意保证线与端口连接好,并且注意不要画到器件图形符号的虚线框里面。

(3)顶层文件的实体名只能有一个,而且注意符号文件不能与顶层文件的实体名相同。

(4)保存波形文件时,注意文件名必须与工程名一致,因为在多次为一个工程建立波形文件时,一定要注意保存时文件名要与工程名一致,否则不能得到正确的仿真结果。

(5)仿真时间区域的设定与输入波形周期的设定一定要协调,否则得到波形可能不便于观察或发生错误。

心得体会:刚接触使用一个新的软件,实验前一定要做好预习工作,在具体的实验操作过程中一定要细心,比如在引脚设定时一定要做到“对号入座”,曾经自己由于这一点没做好耗费了很多时间。实验中遇到的各种大小问题基本都是自己独立排查解决的,这对于自己独立解决问题的能力也是一个极大地提高和锻炼,总之这次实验我获益匪浅。

>数字电路实验心得体会二:数电实验心得>>(903字)

数字电子技术是一门理论与实践密切相关的学科,如果光靠理论,我们就会学的头疼,如果借助实验,效果就不一样了,特别是数字电子技术实验,能让我们自己去验证一下书上的理论,自己去设计,这有利于培养我们的实际设计能力和动手能力。

通过数字电子技术实验, 我们不仅仅是做了几个实验,不仅要学会实验技术,更应当掌握实验方法,即用实验检验理论的方法,寻求物理量之间相互关系的方法,寻求最佳方案的方法等等,掌握这些方法比做了几个实验更为重要。

在数字电子技术实验中,我们可以根据所给的实验仪器、实验原理和一些条件要求,设计实验方案、实验步骤,画出实验电路图,然后进行测量,得出结果。

在数字电子技术实验的过程中,我们也遇到了各种各样的问题,针对出现的问题我们会采取相应的措施去解决,比如:

1、线路不通——运用逻辑笔去检查导线是否可用;

2、芯片损坏——运用芯片检测仪器检测芯片是否正常可用以及它的类型;

3、在一些实验中会使用到示波器,这就要求我们能够正确、熟悉地使用示波器,通过学习我们学会了如何调节仪器使波形便于观察,如何在示波器上读出相关参数,如在最后的考试实验《555时基电路及其应用》中,我们能够读出多谐振荡器的Tpl、Tph和单稳态触发器的暂态时间Tw,还有有时是因为接入线的问题,此时可以通过换用原装线来解决。

同时,我们也得到了不少经验教训:

1、当实验过程中若遇到问题,不要盲目的把导线全部拆掉,然后又重新连接一遍,这样不但浪费时间,而且也无法达到锻炼我们动手动脑能力的目的。

此时,我们应该静下心来,冷静地分析问题的所在,有可能存在哪一环节,比如实验原理不正确,或是实验电路需要修正等等,只有这样我们的能力才能有所提高。

2、在实验过程中,要学会分工协作,不能一味的自己动手或是自己一点也不参与其中。

3、在实验过程中,要互相学习,学习优秀同学的方法和长处,同时也要学会虚心向指导老师请教,当然这要建立在自己独立思考过的基础上。

数字电子技术实验,有利于掌握知识体系与学习方法,有利于激发我们学习的主动性,增强自信心,有利于培养我们的创新钻研的能力,有利于书本知识技能的巩固和迁移。通过在数字电子技术实验中的实践,我收获了许多!

>数字电路实验心得体会三:数字电路实验学习心得>>(1359字)

一、学习前

数字电路实验是研究和检验数字电路理论的实验。它也是我们电子科学与技术专业接触到的第一门与专业相关的实验课程。在选课的时候就感觉对于不擅长动手的我这会是一门很难的课程。

然而我清楚地明白数字电子技术是一门理论与实践密切相关的学科,如果光靠理论,我们可能会二丈和尚摸不着头脑,在毫无实践的情况下学习这门课无疑意义的。如果借助实验,效果就不一样了,特别是数字电子技术实验,能让我自己去验证一下书上的理论,自己去设计,这有利于培养我的实际设计能力和动手能力。

任何事情都是从不会到会,没有人一出生就会,虽然我的动手能力比较差,但我是怀着认真学习的良好心态来对待这门课程。我相信通过学习,自己可以得到跟好的锻炼。

二、学习中

数字电路实验课,我们先学习了使用Multisim软件仿真电路。刚开始老师讲的真的一点都不懂,都是靠左右的同学帮忙才能完成老师布置的实验任务,但后来做的多了慢慢就会了,虽然开始比较糟糕,但后来还是迎头赶上了。利用这个软件,我们设计电路的时候可以先在电脑上做一个仿真演习,要是设计出了问题我们就可以先改进,不至于不必要的烧坏元器件,大大的减少了资源的浪费。学会仿真后我们就进入了实验室进行一系列的“真枪实战”,刚开始的时候也是一样,手忙脚乱不知所措,还烧坏了两个元器件。主要原因还是自己太粗心了,总是把电路接反,以至于元器件发出了“恶臭”。于此,我深感抱歉!老师说“不怕你烧坏元件,就怕你不敢动手去做”。老师的这句话给了我很大的鼓励!久而久之,在实验中我也慢慢找到了乐趣,尤其是焊电路。以前我最讨厌学习电路,很害怕接触与“电”相关的实,哪怕只是初中学习的串并联的简单电路。然而在我们彭老师的带领下我居然开始愿意自己动手去焊电路,开始时只是抱着试试,玩玩的态度,拿着电烙铁的时候手都在发抖。但慢慢的,慢慢的居然玩出了乐趣。第一次焊小风扇实验时,虽然结果失败了,小风扇没有转起来,但真正的完成了一个电路耶,真的太棒了!

三、学习后

时间过得很快,数电实验课已接近尾声,回顾学习过程有苦有甜。通过学习有以下几点经验:

1、线路不通可以运用逻辑笔去检查导线是否可用;检查哪里是否断路,导线没有接好。

2、在实验过程中切记焦躁,在遇到问题是不要盲目的把导线全部拆掉,然后从新连接,这样不但不能锻炼自己动手动脑的目的而且很浪费时间。此时应该静下心来认真思考,冷静分析问题所在,及时修改。

3、在实验过程中,要互相学习,学习优秀同学的方法和长处,同时也要学会虚心向指导老师请教,当然这要建立在自己独立思考过的基础上。

4、在实验过程中,要学会分工协作,不能一味的自己动手或是自己一点也不参与其中。

四、教学意见

彭老师的幽默,为课程增添了许多的乐趣,他让我们在轻松愉快地氛围下,完成了实验任务。老师的悉心教导也让我们对原本不喜欢的实验课程产生了浓厚的兴趣,从而更好地学习了数字电路,也培养了我们的动手能力。相信在浓厚的兴趣之下我们能更好的去完成接下来的课程!

要说这么课程有什么不足,我唯有一点小小的意见,就是在分组的时候能不能两人一组,这样的话就不会有人滥竽充数,每个人都能投身于焊电路的快乐之中。一个学期的实验课程学习,让我对学习专业知识又增加了一些信心,焊电路其实也不是很难,只要你足够认真的去学习。最后感谢老师一学期的细心教导!

第15篇:数字电路课程设计——数字钟

四川工业科技学院 电子信息工程学院课程设计 专业名称:电子信息工程 课程名称:数字电路课程设计 课题名称:自动节能灯设计 设计人员:蔡志荷 指导教师:廖俊东 2018年1月10日

《模拟电子技术课程设计》任务书

一、课题名称:数字钟的设计

二、技术指标:

(1)掌握数字钟的设计、组装和调试方法。 (2)熟练使用proteus仿真软件。 (3)熟悉各元件的作用以及注意事项。

三、要求:

(1)设画出总体设计框图,以说明数字钟由哪些相对独立的

功能模块组成,标出各个模块之间互相联系。 (2)设计各个功能模块的电路图,加上原理说明。 (3)选择合适的元器件,设计、选择合适的输入信号和输出

方式,确保电路正确性。

指导教师:廖俊东 学生:蔡志荷

电子信息工程学院

2018年1月 10日

课程设计报告书评阅页

课题名称:数字钟的设计 班级:15级电子信息工程4班 姓名:蔡志荷

2018年1月 10日

指导教师评语:

考核成绩:指导教师签名:

20 年月

目录

摘要 ..................................................................................................................................................1 第1章设计任务与要求 ...................................................................................................................2

1.1 设计指标数字钟简介 .....................................................................................................2 1.2 具体要求 .........................................................................................................................2 1.3 设计要求 .........................................................................................................................3 第2章元件清单及主要器件介绍 ...................................................................................................4

2.1 元件清单 .........................................................................................................................4 2.2 主要器件介绍 .................................................................................................................4

2.2.1 74LS90计数 ........................................................................................................4 2.2.2 74LS47 .................................................................................................................5 2.2.3 七段数码显示器 .................................................................................................7

第3章设计原理与电路 ...................................................................................................................8

3.1 计时电路 .........................................................................................................................8

3.1.1 计秒、计分电路 .................................................................................................8 3.1.2 计时电路 .........................................................................................................10 3.2 校时电路 .......................................................................................................................11 3.2.1 报时锁存信号 ...................................................................................................13 3.2.2 报时 ...................................................................................................................13 第4章仿真结果及误差分析 .........................................................................................................15 4.1 实验结果 .......................................................................................................................15 4.2 实时分析 .......................................................................................................................15 第5章设计总结 .............................................................................................................................16 参考文献.........................................................................................................................................17

四川工业科技学院数字电路课程设计

摘要

本次课程设计的主题是数字电子钟。干电路系统由秒信号发生器、“时、分、秒”计数器、显示器、整点报时电路组成。秒信号产生器是整个系统的时基信号,它直接决定计时系统的精度,这里用多谐振荡器加分频器来实现。将标准秒信号送入“秒计数器”,“秒计数器”采用60进制计数器,每累计60秒发出一个“分脉冲”信号,该信号将作为“分计数器”的时钟脉冲。“分计数器”也采用60进制计数器,每累计60分钟,发出一个“时脉冲”信号,该信号将被送到“时计数器”。“时计数器”采用24进制计时器,可实现对一天24小时的累计。译码显示电路将“时”、“分”、“秒”计数器的输出状态送到七段显示译码器译码,通过七位LED七段显示器显示出来。整点报时电路时根据计时系统的输出状态产生一脉冲信号,然后去触发蜂鸣器实现报时。

数字电子时钟优先编码电路、译码电路将输入的信号在显示器上输出;用控制电路和调节开关对LED显示的时间进行调节,以上两部分组成主体电路。通过译码电路将秒脉冲产生的信号在报警电路上实现整点报时功能等,构成扩展电路。本次设计由震荡器、秒计数器、分计数器、时计数器、BCD-七段显示译码/驱动器、LED七段显示数码管设计了数字时钟电路,可以实现:计时、显示,时、分校时,整点报时等功能。

关键词:数字时钟,振荡器,计数器,报时电路

四川工业科技学院数字电路课程设计

第1章 设计任务与要求

1.1 设计指标数字钟简介

数字钟电路是一款经典的数字逻辑电路,它可以是一个简单的秒钟,也可以只计分和时,还可以计秒、分、时,分别为12进制或24进制,外加校时和整点报时电路。

数字钟已成为人们日常生活中必不可少的生活日用品。广泛用于个人家庭以及车站、码头、剧场、办公室等公共场所,给人们的生活、学习、工作、娱乐带来极大的方便。由于数字集成电路技术的发展和采用了先进的石英技术,使数字钟具有走时准确、性能稳定、集成电路有体积小、功耗小、功能多、携带方便等优点。

因此本次设计就用数字集成电路和一些简单的逻辑门电路来设计一个数字式电子钟,使其完成时间及星期的显示功能。多功能数字钟采用数字电路实现对“时”、“分”、“秒”数字显示的计时装置。具有时间显示、走时准确、显示直观、精度、稳定等优点,电路装置十分小巧,安装使用也方便而受广大消费的喜爱。

1.2 具体要求

1、掌握组合逻辑电路、时序逻辑电路及数字逻辑电路系统的设计、安装、测试方法;

2、进一步巩固所学的理论知识,提高运用所学知识分析和解决实际问题的能力;

3、提高电路布局,布线及检查和排除故障的能力。

四川工业科技学院数字电路课程设计

1.3设计要求

1、设计一个有“时”、“分”、“秒”(23小时59分59秒)显示,且有校时功能的电子钟。

2、用中小规模集成电路组成电子钟,并在实验箱上进行组装、调试

3、画出框图和逻辑电路图、写出设计、实验总结报告。

4、整点报时。在59分51秒时输出信号,音频持续10秒,在结束时刻为整点。

四川工业科技学院数字电路课程设计

第2章 元件清单及主要器件介绍

2.1 元件清单

1、74LS90(6个)

2、74LS47(6个)

3、74LS00(6个)

4、74LS20(6个)

5、74LS04(6个)

6、共阳七段数码显示器(6个)

7、蜂鸣器(1个)

8、快关若干,电阻若干

2.2 主要器件介绍

2.2.174LS90计数

本题目核心器件是计数器,常用的有同步十进制计数器74HC160以及异步

二、

五、十进制计数器74LS90.这里选用的是74LS90芯片。

74LS90的引脚图如图2-1表示。

图2-1 74LS90内部是由两部分电路组成的。一部分是由时钟CKA与一位触发器Q0组成的二进制计数器,可记一位二进制数;另外一部分是由时钟CKB与三个触发器Q

1、Q

2、Q3组成的五进制异步计数器,可记五个数000~111.如果把Q0和CKB连接起来,CKB从Q0取信号,外部时钟信号接到CKA上,那么由时钟CKA和Q0、Q

1、Q

2、Q3组成十进制计数器。

R0(1)和R0(2)是异步清零端,两个同时为高电平有效;R9(1)和R9(2)是置

四川工业科技学院数字电路课程设计

9端,两个同时为高电平时,Q3Q2Q1Q0=1001,;正常计数时,必须保证R0(1)和R0(2)中至少一个接低电平,R9(1)和R9(2)中至少一个接低电平。

74LS90的功能表如表2-1所示。

表2-1 2.2.274LS47 74LS47的引脚图如图2-3表示。

图2-3 译码为编码的逆过程。它将编码时赋予代码的含义“翻译”过来。实现译码的逻辑电路成为译码器。译码器输出与输入代码有唯一的对应关系。74LS47是输出低电平有效的七段字形译码器,它在这里与数码管配合使用。

表2-2列出了74LS47的真值表,表示出了它与数码管之间的关系。

四川工业科技学院数字电路课程设计

表2-2 H=高电平,L=低电平,×=不定 74LS47译码器原理如图2-4.

图2-4

74LS47是BCD-7段数码管译码器/驱动器, 74LS47的功能用于将BCD码转化成数码块中的数字,通过它解码, 可以直接把数字转换为数码管的显示数字, 从而简化了程序,节约了 单片机的IO开销。因此是一个非常好的芯片!但是由于目前从节约成本的角度考虑, 此类芯片已较少用, 大部份情况下都是用动态扫描数码管的形式来实现数码管显示。

四川工业科技学院数字电路课程设计

2.2.3 七段数码显示器

共阳极七段数码管引脚图如图2-5表示。

图2-5 LED数码管中的发光二极管共有两种连接方法:

1、共阴极接法:把发光二极管的阴极连在一起构成公共阴极。使用时公共阴极接地,这样阳极端输入高电平的段发光二极管就导通点亮,而输入低电平的则不点亮。实验中使用的LED显示器为共阴极接法。

2、共阳极接法:把发光二极管的阳极连在一起构成公共阳极。使用时公共阳极接+5V。这样阴极端输入低电平的段发光二极管就导通点亮,而输入高电平的则不点亮,而输入高电平的则不点亮。

注:课设中使用的是共阳极数码管。

四川工业科技学院数字电路课程设计

第3章 设计原理与电路

3.1 计时电路

计时电路共分三部分:计秒、计分、计时。其中计秒和记分都是60进制,而计时为24进制。难点在于三者之间进位信号的实现。

3.1.1 计秒、计分电路

1、个位向十位的进位实现。

用两片74LS90异步计数器接成一个一步的60进制计数器。所谓异步60进制计数器,即两片74LS90的时钟不一致。各位时钟为1Hz方波来计秒,十位计数器的时钟信号需要从个位计数器来提供。

进位信号的要求是在十个秒脉冲中只产生一个下降沿,且与第十秒的下降沿对齐。只能从个位计数器的输出端来提供,不可能从其输入端来找。而计数器的输出端只有Q0、Q

1、Q

2、Q3四个信号,要么是其中一个,要么是它们之间的逻辑运算结果。

把个位的四个输出波形画出来,如图3-1所示。

图3-1 由于74LS90是在时钟的下降沿到来时计数,所以Q3正好符合要求,在10秒之内只给出一个下降沿,且与第19秒的下降沿对齐。Q2虽然也只产生一个下降沿,但产生的时刻不对。这样,个位和十位之间的进位信号就找到了,把个位的Q3(11端)连接到十位的CKA(14端)上。

四川工业科技学院数字电路课程设计

2、六十进制的实现

当几秒到59时,希望回00.此时个位正好计满十个数,不用清零即可自动从9回0;十位应接成六进制,即从0~5循环计数。用异步清零法,当6出现的瞬间,即Q3Q2Q1Q0=0110时,同时给R0(1)和R0(2)高电平,使这个状态变成0000,由于6出现时间很短,被0取代。接线如图3-2所示。

图3-2 当十位计数到6时,输出0110,其中正好有两个高电平,把这两个高电平Q2和Q1分别接到74LS90的R0(1)和R0(2)端,即可实现清零。一旦清零,Q2和Q1都为0,不能再继续清零,恢复正常计数,直到下次再同时为1。

计秒电路的仿真图如图3-2所示,计分电路和计秒电路是完全一致的,只是周期为1S的时钟信号改成了周期为60秒即1分钟的时钟信号。

3、秒向分的进位信号的实现

积分电路的关键问题是找到秒向分的进位信号。当秒电路计到59秒时,产生一个高电平,在计到60秒时变成低电平,来一个下降沿送给计分电路做时钟。 计秒电路在计到59时的十位和个位的状态分别为0101和1001,把这四个1与起来即可,即十位的Q2和Q0,个位的Q3和Q0,与的结果作为进位信号。使用74LS20四入与非门串反相器构成与门,如图3-3所示。

四川工业科技学院数字电路课程设计

图3-3 计分电路与计秒电路一样,只是四输入与门产生的信号应标识为59分。

3.1.2 计时电路

用两片74LS90实现二十四进制计数器,首先把两片74LS90都接成十进制,并且两片之间连接成具有十的进位关系,即接成一百进制计数器,然后在计到24时,十位和个位同时清理。计到24时,十位的Q1=1,个位的Q2=1,应分别把这两个信号连接到双方芯片的R0(1)和R0(2)端。如个位的Q2接到两个74LS90的R0(1)清零端,十位的Q1接到两个74LS90的R0(2)清零端。

计时电路的个位时钟信号来自秒、分电路产生59分59秒两个信号相与的结果,如图3-4所示。

图3-4

四川工业科技学院数字电路课程设计

计分和计时电路可以先单独用秒脉冲调试,以节省时间。联调时,可把秒脉冲的频率加大。

图3-5是一个链接好的简单的没有校时和报时的数字时钟电路。

图3-5 图中为了把数显集中到一块,可以直接把时、分、秒的数码管拖动到一起。但为了仿真时使器件管件的逻辑状态显示不影响数显的效果,可以从主菜单中把逻辑显示去掉即可。

3.2 校时电路

接下来把校时电路加上,校时电路主要完成校分和校时。选择较分时,拨动一次开关,分自动加一;选择校时时,拨动一次开关,小时自动加一。校时校分应准确无误,能实现理想的时间校对。校时校分时应切断秒、分、时计数电路之间的进位连线。

如图3-6,红色线框内是校时电路,由去抖动电路和选择电路组成。

四川工业科技学院数字电路课程设计

图3-6 其中,计到59分的信号已有,如图3-6中所示。只需把它和计秒电路的十位中的Q2Q0相与作为开始报时的一个条件即可。见图3-7,U16:A和U10:D组成的与门输出即为报时开始信号。

图3-7

四川工业科技学院数字电路课程设计

3.2.1 报时锁存信号

用秒个位的计数器输出进行四高一低的报时锁存信号。现在来分析一下50~59秒之间秒个位的状态。

秒个位:Q3 Q2 Q1 Q0 0 0 0 0 0 0 0 1 0 0 1 0 0 0 1 1 0 1 0 0 0 1 0 1 0 1 1 0 0 1 1 1 1 0 0 0 1 0 0 1

结合题目要求,通过这些状态的观察发现,秒个位的Q3’和Q0逻辑与后,正好在秒个位计到

1、

3、

5、7时产生高电平,0、

2、

4、6时产生低电平,可作低四声报时的锁存信号;秒个位的Q3和Q0逻辑与后,正好在秒个位为9时产生高电平,可做高音的报时锁存信号;这样就产生了两个报时锁存信号。

3.2.2 报时

把上述分析所得到的的报时开始信号分别和两个报时锁存信号相与,产生两路报时锁存信号,如图3-7,上面一路为高音报时锁存,下面一路为低音报时锁存。图中左面三个与非门实现的是与或逻辑,前面已介绍。

上下两路报时锁存信号分别与1kHz和500Hz的音频信号(20Hz~30kHz)相与或来驱动数字喇叭,实现整点报时功能。这里喇叭使用元件SOUNDER,它接收数字信号。

实验时,把59分50秒这个报时开始信号直接用高电平取代,这样比较省时。另外实际连接电路时,可用555定时器产生一个1kHz的方波,再经D触发器二

四川工业科技学院数字电路课程设计

分屏得到500Hz的方波信号。计时电路的1Hz方波也可由555定时器产生,但由于标准电阻和电容值的选择会带来一些积累误差,也可选用其他更精确的振荡电路来实现。

四川工业科技学院数字电路课程设计

第4章 仿真结果及误差分析

4.1 实验结果

成功设计一个有“时”、“分”、“秒”(23小时59分59秒)显示,有校时功能的电子钟。能够实现整点报时。在59分51秒时输出信号,音频持续10秒,在结束时刻为整点。且能够正常仿真。

如图4-1是完整的数字钟电路图。

图4-1 4.2 实时分析

本次课程设计电路完全按照仿真图所连的,在测试时,当开始进行时校时时,没有出现问题,但当进行到分校时时,发现计数电路的秒电路开始乱跳出错。因此,电路一定是有地方出错了,在反复对照后,发现是因为在接入校正电路时忘了把秒十位和分个位之间的连线拿掉而造成的,因此,在接线时一定要注意把不要的多余的线拿掉。

仿真时用的脉冲是用的软件里的时钟脉冲,没有使用555定时器,可能会造成一定的误差。

四川工业科技学院数字电路课程设计

第5章 设计总结

通过这次数字电子钟的课程设计,我们把学到的东西与实践相结合,深化了我对数字电路设计和模拟电路的设计,让我在设计的实践中获得了更多的知识,同时锻炼了我的动手能力。在这过程中对我们学的知识了更进一步的理解,而且更进一步地熟悉了芯片的结构及掌握了各芯片的工作原理和其具体的使用方法,也锻炼了自己独立思考问题的能力和通过查看相关资料来解决问题的习惯。

虽然这只是一次学期末的课程设计,但通过这次课程设计我们了解了课设计的一般步骤、方法和设计中应注意的一些问题。我觉得这次设计是很有重要意义的,它锻炼了同学们对待问题时的态度和处理事情的能力,了解了各个芯片能够完成什么样的功能,使用芯片时应该注意那些要点,同一个电路可以用那些芯片实现,各个芯片实现同一个功能的区别。

总之,这次课程设计让我学到了好多东西,这种课程设计对一个大学生是非常重要的。在此我要感谢我同组的搭档蔡西!然后,非常感谢廖老师的耐心指导!

四川工业科技学院数字电路课程设计

参考文献

【1】张存礼、韩爱娟主编.电子技术综合实训.北京师范大学出版社.2005.8。 【2】朱清慧主编.Proteus教程.清华大学出版社.2011.6。 【3】阎石主编.数字电子技术基础.高等教育出版社.2016.4。

第16篇:数字电路课程设计总结报告

课程设计总结报告

总结报告应包括以下几点:

1、课题名称

2、内容摘要

3、设计内容及要求

4、比较和选写设计系统方案,画出系统框图

5、单元电路设计,参数计算和器件选择

6、画出完整的电路图,并说明电路的工作原理

7、组装调试的内容。包括:

(1)使用的主要仪器和仪表

(2)调试电路的方法和技巧

(3)测试的数据和波形与计算结果比较分析

(4)调试中出现的故障、原因及排除方法

8、总结设计电路的特点和方案的优缺点,指出课题的核心及实用价值,提出改进意见和展望

9、列出系统需要的元器件清单

10、列出参考文献

11、收获、体会。

注意:报告必须手写,电路图用直尺和铅笔手绘应符合规范。(原理总电路图可用计算机仿真电路打印图)。

评分方法

1、必做内容约占总成绩的60%(不包括总结报告),具体分数视完成的质量、先后和是否独立完成(包括答疑次数的多少)而写。值得指出的是,所谓完成质量的高低不是单纯的看所选用的元器件是否最先进或完成的指标是否很高,而是要看是否充分利用已有的条件,合理地实现所规定的要求。

2、选做内容约占总成绩的20%,具体分数视选做内容的难度,完成的质量是否在规定的期限内独立完成而定。

3、

4、总结报告约点总成绩的20%,按质量好坏给分 有下列情况之一者,要酌情减分

(1) 有抄袭分为或有意给别人抄袭

(2) 损坏或丢失实验室的物品,包括元器件、仪器设备和工具

(3) 迟交总结报告。

三、时间安排:

第一周

1、学生按照自己的任务去找资料、定方案,画出设计电路图并仿真,按电路图填写好课设器件清单,按需填写。(答疑时间:星期二上午9:30 ~ 11:30)

2、星期三 10:00 前由班长收齐好所有组的课设器件清单,每组一份,交给教师,审核后由数电实验室负责捡器件;

星期四 教师通知班长到数电实验室(10#-419)领取器件,班长清点好并发放到各组。

第二周

星期一 ~ 星期二 :学生可以在宿舍、数电实验室,完成课设的连线与调试。若期间有器件损坏或不够的同学,请直接到10#-419按规定登记领取需要的器件。

星期三 ~ 星期五:每天上午(8:30~ 11:00)和下午(2:30~ 4:00)在10#-419开始检查课设结果,学生请自带证件。完成了检查的学生于星期五下午之前务必将课设器件整理好交给班长,课设论文交给学习委员。( 班长和学习委员按要求检查、并作登记)。课设论文:统一买论文纸,按要求完成。

第17篇:数字电路学习心得体会

数字电路学习心得体会

不知不觉中数字电路已经接近尾声,大二的生活也要告一段落。回望过去一切都好像发生在昨天,刚刚还在学模电而现在数电都快要结束了,不由得发出一句感慨:时间过的真的是蛮快的啊!学过了数电和模电发现:模拟电子电路实际是相对数字电子电路而言。模电:一般指频率在百兆赫兹以下,电压在数十伏以内的模拟信号以及对此信号的分析、处理及相关器件的运用。百兆赫兹以上的信号属于高频电子电路范畴。百伏以上的信号属于强电或高压电范畴。数电:一般指通过数字逻辑和计算去分析、处理信号,数字逻辑电路的构成以及运用。由于 数 电 可大 规 模 集 成,可 进 行 复 杂 的 数 学 运 算,对 温度 、干扰、老 化 等 参 数 不 敏感,因此是今后的发展方向。学好了数电对我们今后的发展有很大的作用!我们学的这本教材总结了近几年来的教学实践经验,加强了基础理论,如加强了半导体的物理基础和电路的基本分析方法;同时也注意吸取国内外的先进技术,如加强了线性集成电路和数字集成电路(包括中、大规模集成电路)的原理和应用,新增了电子电路的计算机辅助分析等内容)。在内容的安排上,注意贯彻从

出 发,由

深 入 浅、由

般 、从 感 性 上 升 到 理

等 原

则。这些我们可以从目录上明显的看出:1 数字逻辑概论2 逻辑代数与硬件描述语言基础3 逻辑门电路4 组合逻辑电路5 锁存器和触发器6 时序逻辑电路7 存储器,复杂可编程器件和现场可编程门阵列

8 脉冲波形的变换与产生

9 数模与模数转换器*10 数字系统设计基础。在学数字电路中给我最深印象的应该就是:555定时器及其应用,因为电子设计大赛中我们制作的是多功能数字钟,555定时器是一种集模拟、数字于一体的中规模集成电路,其应用极为广泛。谐振荡器、单稳态触施换泛电分部个个的状输阈RS音途无字了着密电应子别包放基功态入值特路用测电如电准能。端输,管电在的入使统等采技对的字

用术我作天压电电

使端输、电

数的们用空

应电!

源压图

T触。于量路

发它仪及

器也器自

等常仪动

脉作表控

、制

所触。

RS 级/3 上冲为

家等

示发它555 电

产定

用方

排。器提定,发生时

电面

列它

,供时当

相果可机、系系,来

统统学说

将、长,数好有

器与器

器。

图内一两器

5及变广

、555定时器的内部

2.9.1 及功VCC 与为

和图2.9.2 输

出括两个电压比较器,三个等值串联电阻,一个

/3和2VCC 地

间主要由两个比较器决定。两个比较器的输出电压控制RS

的 同相输入端的电压为2VCC

RS 触发器置1,使输出端

TH 的/3,则C1出计视子字

用子设电

越系

算频

备路来

电0

触发器和放电管的

的反如脚悬空时,则电压比较器C1较器C2 的输出为 0,可

/3,C2

VCC/3。若触发输入端TR的电压小于VCC /3,则比

OUT=1。大

2VCC/3,同时 TR 端的电压大于VCC

触发器置0响电一电数很子电大数系信不用,在人们的日常生活中,常用的

的输出为0, C2的输出为1,机记

或或越

学、录

电数广

生电设

子字泛视备

电平。其实数字电路在我们生活中有很大的作

感谢老师对我们的谆谆教导,我们一定会继续努力,创造一份属于自己的

第18篇:数字电路学习感想

数字电路学习感想

姓名:xxx 学号:xxxxxxxxxxxx

现在已经是第十二周了,离数字电路课程结课也只剩下一周时间了。时间真的过的好快。回想过去,感觉昨天才踏进西大校园,而下周就要上完,紧接着就是不知道什么时候就来的考试,哎!感觉挺纠结的,只是还没学会,马上就要考试了。

接下来就简单谈谈自己对数字电路课程的感受吧。

第一章, 数制与码制只是一些常用的基础知识。其实好多码制我们也不

用去可以的去记,只用知道它是怎么回事就行,用到的时候查一

下就行了。主要就熟练地掌握反码补码的求法以及

2、

10、16进

制数之间的快速转化就差不多了。

第二章, 逻辑代数基础我觉得代入、反演、对偶定理都挺重要的,还有

就是逻辑表达式的常用化简公式以及卡诺图法化简逻辑函数。个

人觉得卡诺图是最好用的(可能是老记不住那几个公式吧),所以

一定要熟练掌握卡诺图的使用方法。总之第二章是基础,是以后

几章学习的工具。

第三章,

第四章, 主要介绍了MOS管这里就不做详尽的探讨了。 组合逻辑电路到这一章,我们才真正的接触到电路,以前的都

是铺垫罢了。主要掌握几种常见的组合逻辑电路:编码器、译码

器、数据选择器、加法器、数值比较器等。这些都是常见常用的

电路,所以如果我们现在没记牢,下去一定要多看几遍,争取把

这几个电路弄懂弄通,不然感觉以后更深层次的电路设计我们就

更无从下手了。

第五章, 触发器这章也是重点,我们要在认识SR、JK、T、D触发器的

基础上熟练掌握各种电路结构触发器所具有的动作特点,以及触

发器的逻辑功能分类和描述方法。

第六章, 时序逻辑电路时序是区别组合的。这里对CLOCK的引入又加

深的它的难度,所以我们要特别注意,看清电路的出发方式。设

计电路时更要选择合适的触发器。差不多就这么多了。

第19篇:数字电路课程设计教学大纲

数字电路课程设计

一、目的与任务

数字电路课程设计是数字电子技术课程重要的实践性教学环节,是对学生学习数字电子技术的综合性训练,这种训练是通过学生独立进行某一个或两个课题的设计、安装和调试来完成的。

通过数字电路课设要求学生:

1、根据给定的技术指标,从稳定可靠、使用方便、高性能价格比出发来选择方案,运用所学过的各种电子器件和电子线路知识,设计出相应的功能电路。

2、通过查阅手册和文献资料,培养学生独立分析问题和解决实际问题的能力。

3、了解常用电子器件的类型和特性,并掌握合理选用的原则。

4、学会电子电路的安装与调试技能,掌握电子电路的测试方法及了解印刷线路板的设计,制作方法。

5、进一步熟悉电子仪器的使用方法。

6、学会撰写课程设计总结报告。

7、培养学生严肃认真的工作作风和严谨的科学态度。

二、内容、要求与安排

1、内容:

课题名称:

(1) 热释电红外传感器设计制作 (2) 音频功率放大器

(3) 集成电流稳压电源的设计 (4) 函数发生器的设计

2、要求:

在教师的指导下,学生要在规定的时间内完成课题的设计,安装和调试并独立完成总结报告。

3、进度安排及方式:(以四学时为一个单元) 第一单元:集中讲课,主要内容如下:

(1)课程设计的目的与要求

(2)课程设计的教学过程

(3)课程设计的评分标准

(4)课设题目介绍

(5)学生自由组合,选择题目。

第二单元:确定题目,教师就题目的基本要求答疑。学生讨论、查资料。 第

三、

四、五单元:查资料、设计、.仿真。第六单元:经指导教师审查后,领材料,组装。 第七单元至第九单元:组装、调试、写报告。

第十单元:完成收尾工作,清点材料、工具。准备课程设计报告。

三.考核内容与成绩评定

1、考核内容:

(1) 设计能力

(2) 组装或焊接调试情况 (3) 解决问题的能力 (4) 总结报告情况

(5) 出勤情况、工作作风和科学态度。

2、成绩评定:

设计的正确性、合理性和PSPICE软件或EWB软件仿真情况 20分,实际操作,调试、效果 40分,

总结报告 20分,考试或口试 20分。

第20篇:《数字电路》教学大纲(04)

《数字电路》教学大纲(04)

英文名称:Digital Electronic Technology 学 分:4学分 学 时:64学时 理论学时:50学时 实验学时:14学时 先修课程:电路分析、低频电子线路

适用专业:电子信息工程专业、电子信息科学与技术专业

教学目的:

使学生在学完普通物理、电路分析基础等课程的基础上,系统地学习数字电子技术的基础理论、基本概念和基本方法,掌握数字电路设计的理论和方法,为今后深入学习数字电子技术领域的内容,以及为数字的技术在专业中的应用打好基础。

教学要求:

深刻理解数字电路的基本理论、基本概念和基本方法,熟练掌握数字逻辑电路与系统的分析方法,加强实践环节,使学生接受严格和系统的实验操作训练,提高学生使用仪器、测试电路和排除电路故障的能力,具备正确运用数字集成电路的能力。

教学内容:

第一章 制数、码制与半导体器件开关运用特性 (2学时) 1. 数制

2. 带符号数的代码表示 3. 数的定点表示与浮点表示 4. 数码和字符的代码 5. 半导体器件的开关特性

基本要求:

熟知数制与码制的概念、表示方法、性质及相互转换,掌握二极管、三极管MOS管的开关运用特性。

重 点:

二极管、三极管、MOS管的开关运用特性。 难 点:

MOS管的开关运用特性。

第二章 逻辑代数基础 (4学时) 1. 逻辑代数的基本概念 2. 逻辑代数的基本定理及规则 3. 逻辑函数表达式的形式与变换 4. 逻辑函数的化简

基本要求:

深刻理解逻辑代数的基本概念,基本定理和规则,及逻辑函数的表示形式,熟练掌握化简逻辑函数的表示方法——公式法和图形法。 重 点:

逻辑代数的基本定理和规则,逻辑代数的化简。 难 点:

逻辑函数的代数化简法。

第三章 逻辑门电路 (4学时) 1. 基本逻辑门电路 2. 集成逻辑门电路 3. TTL与CMOS电路的连接

基本要求:

熟知基本逻辑门电路以及集成逻辑门电路工作原理和外特性,熟练掌握TTL与非门及其它功能的TTL、CMOS逻辑门。

重 点:

TTL与非门及其它功能的TTL门 ,MOS反相器及逻辑门、CMOS反相器及逻辑门。 难 点:

TTL门和OC门的使用区别,三态门的特点。

第四章

组合逻辑电路 (8学时) 1. 组合逻辑电路的分析 2. 组合逻辑电路的设计 3. 典型组合逻辑电路设计 4. 组合逻辑电路的险象

基本要求:

熟练掌握组合逻辑电路的分析方法,深刻理解全加器、代码转换、数值比较、译码、数据选择、数据分配、奇偶检测等典型电路的概念和功能,掌握它们的分析和设计方法。

重 点:

组合逻辑电路的分析,组合逻辑电路的设计,译码电路、数据选择电路。 难 点:

组合逻辑电路的分析和设计方法。

第五章

触发器 (6学时) 1. 基本触发器

2. 几种常用的钟控触发器 3. 不同类型钟控触发器的相互转换 4. 集成触发器的主要参数

基本要求:

深刻理解触发器的性质,熟练掌握其功能,理解触发器的结构,熟练其触发方式,了解触发器的参数。

重 点:

触发器的逻辑功能、时钟触发器的触发方式。 难 点: 触发器的触发方式。 第六章 时序逻辑电路 (8学时) 1. 同步时序逻辑电路

同步时序逻辑电路的分析;同步时序逻辑电路的设计;典型同步时序逻辑电路设计举例 基本要求:

熟练掌握时序逻辑电路的分析方法和设计方法,深刻理解计数器、寄存器、序列检测器等典型的时序逻辑电路的概念和功能。掌握它们分析和设计方法。

重 点:

同步时序逻辑电路的分析和设计方法,计数器,寄存器。 难 点:

时序逻辑电路的设计方法、分析和作时序波形图。

第七章 采用大、中规模集成电路的逻辑设计 (8学时) 1. 译码器 2. 多路选择器 3. 二进制并行加法器 4. 数值比较器 5. 计数器 6. 寄存器

7. 大规模逻辑器件及其应用

基本要求:

深刻理解几种常见的中规模集成电路(译码器、多路选择器、数值比较器、加法器、寄存器、计数器)的外部特性和逻辑功能,了解可编程逻辑器件的基本类别、电路结构和工作原理、熟练应用中、大规模集成电路进行逻辑电路设计。

重 点:

译码器、串路选择器、数值比较器、寄存器、计数器、只读存储器ROM、可编程逻辑阵列的应用。

难 点:

中规模逻辑芯片的应用。

第八章 脉冲的产生和整形 (6学时) 1. 555定时器 2. 施密特滋发器 3. 单稳态触发器 4. 多谐振荡器

基本要求:

深刻理解555定时器的电路组成和功能,熟练掌握施密特触发器、单稳态触发器及多谐振荡器电路构成及其应用。

重 点:

施密特触发器、单稳态触发器、多谐振荡器。 难 点:

用555定时器构成的单稳、多谐、施密特电路的波形和参数计算。 第九章 数/模和模/数转换 (4学时) 1. 数/模转换器DAC 2. 模/数转换器ADC 基本要求:

理解数模转换器的基本概念,熟练掌握权电阻求和网络DAC和R-2R梯形电阻网络DAC的电路形式和工作原理,掌握R-2R倒梯形电路网络DAC的电路形式和工作原理,理解模数转换器的组成及一些基本概念,掌握并行比较器ADC,双积分裂ADC,逐次比较型ADC的电路形式和工作原理,了解电压频率转换器VFC的电路形式和工作原理。

重 点:

权电阻求和网络DAC和R-2R梯形电阻网络DAC以及并行比较ADC。 难 点:

权电阻求和网络DAC和R-2R梯形电阻网络DAC以及并行比较ADC。

实验教学:

1. 基本门电路的逻辑功能及验证 (2学时) 验证性实验

基本要求:

熟悉双踪示波器的使用,熟悉TTL与非门外形和管脚引线排列,加深对与非门逻辑功能的认识。熟悉数字电路实验箱。 重 点:

门逻辑功能的验证和分析。 难 点:

门逻辑功能的验证和分析。

2. 半加器和全加器 (2学时) 验证性实验

基本要求:

熟知半加器和全加器逻辑功能及其使用方法,掌握中规模组合逻辑电路的设计方法。 重 点:

电路逻辑功能的验证和电路的设计。 难 点:

电路设计和实验过程中电路故障的排除。

3. 集成触发器及其应用 (2学时) 验证性实验

基本要求:

掌握触发器的功能及使用方法,学习简单时序电路设计。 重 点:

触发器功能测试,简单时序电路设计。 难 点:

时序分析和波形测量分析。

4. 计数器及其应用 (2学时) 验证性实验

基本要求:

掌握同步和异步计数器的设计步骤。 重 点:

计数器的设计和逻辑分析。 难 点:

时序电路的设计和信号分析测量。

5.555定时器及其典型应用 (2学时) 验证性实验

基本要求:

熟知555定时器的基本功能。掌握555定时器的应用,进一步提高使用示波器观察示波形和测量时间参数的能力。

重 点:

用555定时器构成多谐振荡器和施密特触发器。 难 点:

振荡器和施密特触发器的构成,用示波器进行波形的测量和分析。

6. 抢答电路设计实验 (4学时) 设计性实验

基本要求:

熟悉数字组合逻辑电路和时序逻辑电路的设计方法、调试方法,进一步的掌握集成芯片的应用。

重 点: 芯片的应用和调试。 难 点:

综合电路的设计和芯片应用。

参考教材:

1.康华光 电子技术基础(数字部分)(第四版) 高等教育出版社 2000 2.李士雄 数字集成电子技术教程 高等教育出版社 1998 3.阎石 数字电子技术基础(第四版) 高等教育出版社 2000 4.欧阳星明主编 数字系统逻辑设计 电子工业出版社 2003 5.Alan B.Marcovitz Introduction to logic design.Publishing House of Electronics industry 2003

执笔人:包亚萍

数字电路教学工作总结
《数字电路教学工作总结.doc》
将本文的Word文档下载到电脑,方便编辑。
推荐度:
点击下载文档
相关专题
点击下载本文文档